PySide(PyQt),使用 QGraphicsOpacityEffect 设置小部件的整体显示透明度

  基本的demo      

        在 PySide6 中,可以使用 QGraphicsOpacityEffect 类来实现整体显示透明度。下面是一个简单的示例,演示了如何为 QLabel 设置透明度:

from PySide6.QtWidgets import QApplication, QLabel, QGraphicsOpacityEffect, QVBoxLayout, QWidget

app = QApplication([])

# 创建主窗口
window = QWidget()
layout = QVBoxLayout(window)

# 创建 QLabel
label = QLabel("这是一个带有透明度的 QLabel")
layout.addWidget(label)

# 创建 QGraphicsOpacityEffect 对象
opacity_effect = QGraphicsOpacityEffect()
opacity_effect.setOpacity(0.5)  # 设置透明度,0.0 完全透明,1.0 完全不透明

# 应用效果到 QLabel
label.setGraphicsEffect(opacity_effect)

# 设置主窗口
window.setLayout(layout)
window.show()

app.exec()

 制作一个动态闪烁的指示灯

from PySide6.QtCore import QTimer
from PySide6.QtWidgets import QApplication, QLabel, QGraphicsOpacityEffect, QVBoxLayout, QWidget

app = QApplication([])

# 创建主窗口
window = QWidget()
layout = QVBoxLayout(window)

# 创建 QLabel
label = QLabel()
label.setFixedSize(20, 20)   # 设置尺寸,长宽相等
label.setStyleSheet('border:1px solid #868686;border-radius:10px;background-color: rgb(0, 255, 0);')  # border-radius设置为尺寸的一半,就显示为圆形

layout.addWidget(label)

# 创建 QGraphicsOpacityEffect 对象
opacity_effect = QGraphicsOpacityEffect()

rate = 0.1  # 初始透明度
# 定时器
timer = QTimer()
timer.start(200)


# 定时器超时的槽函数
def timeout_slot():
    global rate
    rate += 0.1
    if rate >= 1.5:
        rate = 0.1
    opacity_effect.setOpacity(rate)  # 设置透明度,0.0 完全透明,1.0 完全不透明
    # 应用效果到 QLabel
    label.setGraphicsEffect(opacity_effect)


timer.timeout.connect(timeout_slot)




# 设置主窗口
window.setLayout(layout)
window.show()

app.exec()

显示效果:

将其定义为类,方便使用 

# 这是一个动态变化透明度的QLabel
from PySide6.QtCore import QTimer
from PySide6.QtWidgets import QApplication, QLabel, QGraphicsOpacityEffect, QVBoxLayout, QWidget


class DynamicTransparencyLamp(QLabel):
    def __init__(self,parent=None):
        super().__init__(parent)
        self.clock = bool
        self.transparency_rate = 0.1
        self.opacity_effect = QGraphicsOpacityEffect()

    # 设置透明度并显示
    def setTransparency(self):
        self.transparency_rate += 0.1
        if self.transparency_rate >= 1.5:
            self.transparency_rate = 0.1
        self.opacity_effect.setOpacity(self.transparency_rate)  # 设置透明度,0.0 完全透明,1.0 完全不透明
        # 应用效果到 QLabel
        self.setGraphicsEffect(self.opacity_effect)


if __name__ == '__main__':
    app = QApplication([])

    # 创建主窗口
    window = QWidget()
    layout = QVBoxLayout(window)

    # 创建 QLabel
    label = DynamicTransparencyLamp()
    label.setFixedSize(20, 20)   # 设置尺寸,长宽相等
    label.setStyleSheet('border:1px solid #868686;border-radius:10px;background-color: rgb(0, 255, 0);')  # border-radius设置为尺寸的一半,就显示为圆形

    layout.addWidget(label)

    # 定时器
    timer = QTimer()
    timer.start(200)

    # 定时器超时的槽函数
    def timeout_slot():
        label.setTransparency()

    timer.timeout.connect(timeout_slot)
    # 设置主窗口
    window.setLayout(layout)
    window.show()
    app.exec()

项目中的应用:摄像指示灯

 

 

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mfbz.cn/a/842910.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

【常见开源库的二次开发】基于openssl的加密与解密——MD5算法源码解析(五)

一、MD5算法分析 : 1.1 关于MD5 “消息摘要”是指MD5(Message Digest Algorithm 5)算法。MD5是一种广泛使用的密码散列函数,它可以生成一个128位(16字节)的散列值。 RFC 1321: MD5由Ronald Rivest在1992…

西门子博图TIA V18软件安装步骤

目录标题 STEP1 准备好安装包并解压STEP2 打开.NET3.5STEP3 安装V18主要软件STEP4 安装PLCSIM V18仿真软件STEP5 安装 startdrive调试变频器软件(选装)STEP6 安装完成 STEP1 准备好安装包并解压 首先准备好TIA V18软件安装包 解压一下准备安装 STEP2 …

TCP与UDP网络编程

网络通信协议 java.net 包中提供了两种常见的网络协议的支持: UDP:用户数据报协议(User Datagram Protocol)TCP:传输控制协议(Transmission Control Protocol) TCP协议与UDP协议 TCP协议 TCP协议进行通信的两个应用进程:客户端、服务端 …

go语言Gin框架的学习路线(七)

GORM入门(基于七米老师) 目录 GORM入门 安装 连接数据库 连接MySQL 连接PostgreSQL 连接Sqlite3 连接SQL Server 我们搞一个连接MySQL的例子 创建数据库 GORM操作MySQL GORM是一个流行的Go语言ORM(对象关系映射)库,它提供了一种方…

<数据集>pcb板缺陷检测数据集<目标检测>

数据集格式:VOCYOLO格式 图片数量:693张 标注数量(xml文件个数):693 标注数量(txt文件个数):693 标注类别数:6 标注类别名称:[missing_hole, mouse_bite, open_circuit, short, spurious_copper, spur…

org.springframework.context.ApplicationContext发送消息

1、创建消息的实体类 package com.demo;/*** 监听的实体类**/ public class EventMessage {private String name;public EventMessage(String name) {this.name name;}public String getName() {return name;}public void setName(String name) {this.name name;} }2、创建消…

企业微信PC版应用跳转到默认浏览器,避坑指南,欢迎补充(Vue项目版)。。。

引子 关于企业微信PC版应用跳转到默认浏览器,我之前写过一篇文章:企业微信PC版应用跳转到默认浏览器,避坑指南,欢迎补充。。。 以前的文章里用的前后端一体的Jsp项目,这次我使用的是前后端分离的Vue项目,…

防火墙之双机热备篇

为什么要在防火墙上配置双机热备技术呢? 相信大家都知道,为了提高可靠性,避免单点故障 肯定有聪明的小伙伴会想到那为什么不直接多配置两台防火墙,然后再将他们进行线路冗余,不就完成备份了吗? 答案是不…

手机如何播放电脑的声音?

准备工具: 有线耳机,手机,电脑,远控软件 1.有线耳机插电脑上 2.电脑安装pc版远控软件,手机安装手机端控制版远控软件 3.手机控制电脑开启声音控制 用手机控制电脑后,打开声音控制,电脑播放视频…

【AI资讯】7.19日凌晨OpenAI发布迷你AI模型GPT-4o mini

性价比最高的小模型 北京时间7月19日凌晨,美国OpenAI公司推出一款新的 AI 模型“GPT-4o mini”,即GPT-4o的更小参数量、简化版本。OpenAI表示,GPT-4o mini是目前功能最强大、性价比最高的小参数模型,性能逼近原版GPT-4&#xff0…

谷粒商城实战笔记-37-前端基础-Vue-基本语法插件安装

文章目录 一,v-model1,双向绑定2,vue的双向绑定2.1 html元素上使用指令v-model2.2 model中声明对应属性2.3,验证view绑定modelmodel绑定view 完整代码 二,v-on1,指令简介2,在button按钮中添加v-…

【学习笔记】无人机系统(UAS)的连接、识别和跟踪(四)-无人机认证与授权

引言 3GPP TS 23.256 技术规范,主要定义了3GPP系统对无人机(UAV)的连接性、身份识别、跟踪及A2X(Aircraft-to-Everything)服务的支持。 3GPP TS 23.256 技术规范: 【免费】3GPPTS23.256技术报告-无人机系…

【项目】星辰博客介绍

目录 一、项目背景 二、项目功能 1. 登录功能: 2. 列表页面: 3. 详情页面: 4. 写博客: 三、技术实现 四、功能页面展示 1. 用户登录 2. 博客列表页 3. 博客编辑更新页 4.博客发表页 5. 博客详情页 五.系统亮点 1.强…

C#发送内容到钉钉消息

文章目录 一、前提工作:配置钉钉机器人二、以text格式发送消息到钉钉三、以markdown格式发送消息到钉钉 一、前提工作:配置钉钉机器人 见链接:https://star-302.blog.csdn.net/article/details/135649084 下边的代码,钉钉安全设…

【C#】| 与 及其相关例子

按位或(|) 按位或运算符 | 对两个数的每一位进行比较,如果两个数中至少有一个为 1,则结果位为 1;否则,结果位为0。 1010 (10 in decimal) | 1100 (12 in decimal) ------1110 (14 in decimal) 力扣相关…

利用patch-package补丁,解决H5预览PDF时电子签章不显示问题

利用patch-package补丁,解决H5预览PDF时电子签章不显示问题 一、问题描述 在生产环境中,遇到了一个紧急的技术问题:用户在移动端H5页面上查看电子票时,PDF文件预览功能正常,但其中的电子签章未能正常显示。这一问题直…

el-select选择器修改背景颜色

<!--* FilePath: topSearch.vue* Author: 是十九呐* Date: 2024-07-18 09:46:03* LastEditTime: 2024-07-18 10:42:03 --> <template><div class"topSearch-container"><div class"search-item"><div class"item-name&quo…

笔记:Few-Shot Learning小样本分类问题 + 孪生网络 + 预训练与微调

内容摘自王老师的B站视频&#xff0c;大家还是尽量去看视频&#xff0c;老师讲的特别好&#xff0c;不到一小时的时间就缕清了小样本学习的基础知识点~Few-Shot Learning (1/3): 基本概念_哔哩哔哩_bilibili Few-Shot Learning&#xff08;小样本分类&#xff09; 假设现在每类…

UniVue@v1.5.0版本发布:里程碑版本

前言 以后使用UniVue都推荐使用1.5.0以后的版本&#xff0c;这个版本之后&#xff0c;更新的速度将会放缓。 希望这个框架能够切实的帮助大家更好的开发游戏&#xff0c;做出一款好游戏&#xff01;本开源项目采用的开源协议为MIT协议&#xff0c;完全开源化&#xff0c;以后也…

数据结构——线性表(循环链表)

一、循环链表定义 将单链表中终端结点的指针端由空指针改为指向头结点&#xff0c;就使整个单链表形成一 个环&#xff0c;这种头尾相接的单链表称为单循环链表&#xff0c;简称循环链表(circular linked list)。 循环链表解决了一个很麻烦的问题。如何从当中一 个结点出发&am…