基于Chisel的FPGA流水灯设计

Chisel流水灯

  • 一、Chisel
    • (一)什么是Chisel
    • (二)Chisel能做什么
    • (三)Chisel的使用
    • (四)Chisel的优缺点
      • 1.优点
      • 2.缺点
  • 二、流水灯设计

一、Chisel

(一)什么是Chisel

Chisel是一种基于Scala的硬件构造语言,它允许设计者使用高级的编程概念来构建硬件。Chisel的设计哲学是“不要重复自己”(DRY),这意味着它鼓励设计者通过参数化和模块化来重用代码。

(二)Chisel能做什么

在硬件设计领域,传统的硬件描述语言(如Verilog和VHDL)虽然功能强大,但它们通常比较底层,需要设计者关注很多细节。这不仅增加了设计的复杂性,也容易引入错误。而Chisel语言提供了一种更高层次的抽象,使得设计者可以专注于硬件的功能和行为,而不是具体的实现细节。

(三)Chisel的使用

  1. 定义模块:
    在Chisel中,硬件模块被定义为Scala的类或对象,这些类或对象可以包含端口(IO)和内部逻辑。
  2. 构建行为:
    Chisel使用Scala的表达式来定义硬件的行为。例如,可以使用条件语句和循环来实现控制逻辑。
  3. 参数化设计:
    Chisel支持参数化设计,这意味着可以通过参数来定义模块的大小和功能,从而创建可重用的硬件组件。
  4. 生成硬件:
    在Chisel提中使用generateVerilog等工具来将高级的Chisel代码转换成传统的硬件描述语言。

(四)Chisel的优缺点

1.优点

  1. 硬件构建语言:

Chisel专注于硬件设计,提供了专门的语法和功能来构建硬件。

  1. 内嵌于Scala:

由于Chisel是Scala的一个特定领域语言,它能够利用Scala的高级特性,如面向对象编程、函数式编程等。

  1. 高度参数化:

Chisel支持使用Scala的元编程来创建高度参数化的硬件设计,这有助于代码复用和设计灵活性。

  1. 代码复用:

Chisel支持端口的嵌套、继承和重载,这使得端口代码复用变得高效,减少了定义和连接端口的工作量。

  1. 设计参数化:

Chisel的设计参数化特性允许设计者创建可配置和可重用的硬件模块。

  1. 编译器结构:

Chisel是硬件编译器框架的一部分,类似于LLVM在软件编译中的应用,这使得前后端解耦,允许编写其他前后端,并利用现有的中端优化和后端。

  1. 自动化特化/转换:

Chisel的编译器结构允许电路的自动化特化和转换,例如将电路转换为FPGA优化版本,提高运行速度。

2.缺点

  1. 学习曲线:

对于习惯于使用传统硬件描述语言(如Verilog和VHDL)的工程师来说,Chisel可能有一个陡峭的学习曲线,因为它需要掌握Scala语言和Chisel特定的语法。

  1. 社区和工具支持:

虽然Chisel正在获得越来越多的关注,但与Verilog和VHDL等成熟的硬件描述语言相比,它的社区和工具支持可能还不够广泛。

  1. 不支持某些结构:

Chisel可能不支持Verilog中的所有结构,例如模拟元素,尽管它提供了通过Verilog黑匣子的逃生舱口来解决这个问题。

  1. 普及度:

Chisel作为一种相对较新的语言,可能还没有在工业界得到广泛的普及和应用,这可能会影响到它的接受度和使用。

二、流水灯设计

先搭建好环境:chisel开发环境搭建(intellij)
built.sbt

name := "untitled2"

version := "0.1"

scalaVersion := "2.11.10"
scalacOptions += "-Xsource:2.11"

libraryDependencies ++= Seq(
  "edu.berkeley.cs" %% "chisel3" % "3.1.2",
  "edu.berkeley.cs" %% "chisel-iotesters" % "1.2.3",
  "org.scalatest" %% "scalatest" % "3.0.5" % "test"
)

FlowingLED.scala

package flowing_led

import chisel3._
import chisel3.util._

class FlowingLED extends Module {
  val io = IO(new Bundle {
    val led = Output(UInt(18.W))
  })

  // 计数器生成函数
  def genCounter(n: UInt): UInt = {
    val cntReg = RegInit(0.U(32.W))
    cntReg := Mux(cntReg === n - 1.U, 0.U, cntReg + 1.U)
    cntReg
  }

  val TIME_0_1S = 5000000.U
  val count = genCounter(TIME_0_1S)
  val shiftReg = Reg(UInt(18.W))  // 初始化寄存器为0,位宽为18

  // 移位逻辑
  when(!reset.toBool()){
    shiftReg := 0.U // 复位时初始化为0
  }.otherwise {
    when(count === (TIME_0_1S - 1.U)) {
      shiftReg := Cat(shiftReg(16, 0), ~shiftReg(17)) // 移位操作
    }
  }

  io.led := shiftReg
}

FlowingLEDNew.

package flowing_led

import chisel3.Driver

object FlowingLEDNew extends App {
  val targetDir = "output_FlowingLED"
  Driver.execute(Array("--target-dir", targetDir), () => new FlowingLED())
}

在这里插入图片描述
点击运行文件
在这里插入图片描述
用Quartus新建工程,添加FlowingLED.v
因对chisel还不是很熟悉,由chisel生成的verilog中有些错误,
所以需将

always @(posedge clock) begin
  if (reset) begin
  改为
always @(posedge clock) begin
  if (!reset) begin

再加入测试文件
flowing_led_tb

`timescale 1ns / 1ps

module flowing_led_tb;

  // 测试平台的输入输出定义
  reg clock;     // 时钟信号
  reg reset;     // 复位信号
  wire [17:0] io_led;  // LED 输出

  // 实例化被测试模块
 	FlowingLED uut (
    .clock(clock),
    .reset(reset),
    .io_led(io_led)
  );

  // 时钟信号生成
  always #10 clock = ~clock;  // 产生一个周期为20ns的时钟信号,即50MHz

  // 测试过程
  initial begin
    // 初始化输入信号
    clock = 0;
	 reset = 0;
	 
	 #100;
	 
    reset = 1; // 初始复位信号设为高
    
    // 等待一个时钟周期以稳定复位状态
    #1000000;
    
    // 释放复位信号
    reset = 0;
    
    // 等待一段时间观察 LED 的变化
    #100; // 等待1000ns,观察流水灯的初始状态

    
    // 结束仿真

  end

  // 监视信号
  initial begin
    $monitor("Time=%t, reset=%b, count=%d, io_led=%b, _T_28=%b, _T_24=%b, _GEN_2=%d",
             $time, reset, uut.count, io_led, uut._T_28, uut._T_24, uut._GEN_2);
  end

endmodule

为方便测试可以将生成的count和GEN2改小一点:

 assign _T_9 = count == 32'h10; // @[FlowingLED.scala 26:26:@9.4]
 assign _GEN_2 = 32'h9;// @[FlowingLED.scala 15:17:@24.6]

编译运行一下
打开Modelsim进行仿真
先运行

vlog 自己的路径:/Flowing_led_tb.v
vsim water_led_tb

在运行run -all
可以得到仿真结果:
在这里插入图片描述
在这里插入图片描述
也可以看仿真出来的图在这里插入图片描述
在这里插入图片描述

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mfbz.cn/a/670686.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

MySQL事务与MVCC

文章目录 事务和事务的隔离级别1.为什么需要事务2.事务特性1_原子性(atomicity)2_一致性(consistency)3_持久性(durability)4_隔离性(isolation) 3.事务并发引发的问题1_脏读2_不可重…

Linux的程序管理2:设置优先级,程序的查看与处理---ps命令详解与kill,killall,top,renice,nice搭配使用管理linux

前言 程序的管理除了前文说到的前后台执行,离线执行。还包括下面几个方面: 1:如何找到最耗费系统资源的程序? (使用top命令找到PID然后排查原因) 2:设置程序的优先级,让其被执行的概…

MulterError: Field name missing 报错解决

Request POST /FileUpload/chunkApi/upload/mProjectNews/Images failed with status code 500. MulterError: Field name missing. 原因:Multer是基于Busboy解析的表单参数信息,经定位发现是解析表单中文本参数时出现了null,故收到MISSION_…

Unity DOTS技术(一)简介

文章目录 一.概述二.将会介绍的内容三.DOTS技术与传统方式的不同传统问题DOTS技术 四.插件安装 一.概述 传统的游戏开发中,如果有成千上万的物体在场景中运动,那么你一定会认为是疯了.但有了Dost技术这一些都将变成可能.如图场景中有10000个物体在同时运动,帧率即能保持在60Fp…

vmware workstation 17.0.0 ubuntu删除快照导致无法启动的问题打不开磁盘xxxxxxx或它所依赖的某个快照磁盘

在使用vmware workstation的时候 在我删除多余的快照的时候,发现删除快照后打不开虚拟机了, 提示: 打不开此虚拟磁盘的父磁盘打不开磁盘“D:\Virtual Machines\Ubuntu 64 位\Ubuntu 64 位-000003.vmdk”或它所依赖的某个快照磁盘。模块“Dis…

有开源软件,也有开源硬件?

开源软件或库有很多,例如 Linux 操作系统的内核 The Linux Kernel Archiveshttps://www.kernel.org/ 开源的各种Linux发行版本,Ubuntu 、CentOS等 Enterprise Open Source and Linux | Ubuntuhttps://ubuntu.com/ 开源的视觉函数库,OpenC…

虚拟化软件(VMWare、VB)异常最后解决手段

Version V0.0:20240601 Ini 前言 这里描述的是常规的解决办法还是无法解决的严重问题,比如”虚拟化软件(VMWare、VB)“启动”虚拟机“、和”CPU硬件加速“开启不了等问题。 一、安装了加速器、游戏平台、模拟器的电脑 解决办法: 把这些…

无限可能LangChain——构建一个简单的LLM应用程序

在本快速入门中,我们将向您展示如何构建一个简单的LLM应用程序。该应用程序将文本从英语翻译成另一种语言。这是一个相对简单的LLM应用程序——它只是一个LLM调用加上一些提示。尽管如此,这仍然是开始使用LangChain的好方法——只需一些提示和一个LLM调用…

Sentinel与Nacos强强联合,构建微服务稳定性基石的重要实践

一、简介 Sentinel 作为一款强大的流量控制组件,能够对系统入口流量进行精准控制,有效防止服务因突发流量冲击引起服务雪崩,进而导致服务瘫痪,确保服务的稳定性和可靠性。 Nacos 作为配置管理和服务发现平台,实现了配置…

数据库(15)——DQL分页查询

DQL分页查询语法 SELECT 字段列表 FROM 表名 LIMIT 起始索引,查询记录数; 注:起始索引从0开始,起始索引(查询页码-1)*每页显示记录数。 如果查询的是第一页,可以省略起始索引。 示例:查询第一页…

【NLP开发】Python实现聊天机器人(微信机器人)

🍺NLP开发系列相关文章编写如下🍺:1🎈【小沐学NLP】Python实现词云图🎈2🎈【小沐学NLP】Python实现图片文字识别🎈3🎈【小沐学NLP】Python实现中文、英文分词🎈4&#x1…

Nvidia Jetson/Orin +FPGA+AI大算力边缘计算盒子:美团小袋自动配送车

大型电商公司美团已选用NVIDIA Jetson AGX Xavier 平台,作为无人配送机器人核心AI算力。 美团点评是全球大型的按需食品配送公司,结合了Uber Eats、Yelp和Groupon的商业模式,与超过40万家本地企业开展合作。他们推出了小袋自动配送车&#…

LeetCode---哈希表

242. 有效的字母异位词 给定两个字符串 s 和 t ,编写一个函数来判断 t 是否是 s 的字母异位词。 注意:若 s 和 t 中每个字符出现的次数都相同,则称 s 和 t 互为字母异位词。 代码示例: //时间复杂度: O(n) //空间复杂度: O(1) c…

【NOIP提高组】进制转换

【NOIP提高组】进制转换 💖The Begin💖点点关注,收藏不迷路💖 我们可以用这样的方式来表示一个十进制数:将每个阿拉伯数字乘以一个以该数字所处位置的(值减1)为指数,以 10 为底数的幂…

Java web应用性能分析之【java进程问题分析概叙】

Java web应用性能分析概叙-CSDN博客 Java web应用性能分析之客户端慢_有的客户端跑java应用特别慢-CSDN博客 Java web应用性能分析服务端慢之前端页面慢_前端页面加载性能分析-CSDN博客 Java web应用性能分析服务端慢之Nginx慢_前端nginx请求比直接连接后台慢很多-CSDN博客 …

【安规介绍】

文章目录 一、基础知识安规上的六类危险的防护:安全电压漏电流接触电流能量问题:火灾问题:热问题结构问题阻燃等级绝缘等级:对接地系统的要求:结构要求:电气要求: 二、设计的关键电气绝缘距离电气爬电距离:…

四足机器人步态仿真(三)四足机器人基础步态仿真

观前提醒,本章主要内容为分析四足机器人步态实现和姿态控制,碰撞体积等程序 步态效果: 一、完整代码如下 # -*- coding: utf-8 -*-import pybullet as pimport timeimport numpy as npp.connect(p.GUI)p.createCollisionShape(p.GEOM_PLANE…

插入排序(直接插入排序、折半插入排序、希尔排序)的性能分析

目录 前言 插入排序 直接插入排序性能分析 折半插入排序性能分析 希尔排序性能分析 前言 本篇文章主要是总结插入排序的性能分析,具体的概念、算法、排序过程,我前面的文章有写,在这里就不再过多赘述了。 插入排序 插入排序是一种简单直…

MYSQL数据库细节详细分析

MYSQL数据库的数据类型(一般只需要用到这些) 整型类型:用于存储整数值,可以选择不同的大小范围来适应特定的整数值。 TINYINTSMALLINTMEDIUMINTINTBIGINT 浮点型类型:用于存储带有小数部分的数值,提供了单精度(FLOA…

2-1RT-Thread线程管理-笔记

2-1RT-Thread线程管理-笔记 其中系统线程由内核创建,如main函数和空闲线程都属于系统线程,而用户线程是由应用程序所创建的。 对于资源较大的MCU可以适当设计较大的线程栈,也可以在初始化时设置一个具体的数值,如1K或2K字节。…