SystemC学习使用记录

一、概述

对于复杂的片上系统,在进行RTL编码前,需进行深入的系统级仿真,以确认设计的体系结构是否恰当、总线是否能满足吞吐量和实现性要求以及存储器是否浪费,所进行的这些仿真要求在芯片的仿真模型上运行大量的软件,以覆盖所需的功能1

SystemC的开发流程

sc源代码可以使用任何标准C++编译环境进行编译,生成可执行文件
运行可执行文件可以生成VCD格式的波形文件
使用综合工具(如Cynthesizer)可以将sc的RTL级描述综合为Verilog代码,也可以使用体系结构综合工具(如ESLFlex)总和为包括软件和硬件的片上系统。

建模精度

周期精确模型

仿真速度很慢,什么功能都可以模拟,但一般适合做硬件RTL验证。

非定时模型

仿真速度很快,但是包含信息较少,适合定义设计规范,也可以结合先进的综合工作用来做软件开发。

松散定时模型

既可以做软软件开发和软件性能评估,也可以做体系结构分析和硬件验证

系统级建模:C++和SystemC

SystemC首先是C++,任何C++的语法和库都可以用在SystemC中。

为什么使用SystemC而不是C++

原始的C++模型程序必须手工转换为Verilog/VHDL,而SystemC可以用于描述不同的抽象级别(系统级、寄存器传输级等)

二、语法

模块定义

SC_MODULE

SystemC库种定义的一个宏,用来定义一个新的C++结构体,类似硬件模块

SC_MODULE(sram8x256)
{
.....
}

SC_CTOR

构造函数,除了完成C++种所要求的基本功能外,构造函数还用于初始化进程的类型并创建进程的敏感表。

SC_CTOR(sram8x256)
{
......
}

端口和信号

SystemC专有数据类型

sc_int/sc_uint/sc_bigint/sc_biguint/
sc_bit:2值单bit数据类型
sc_logic:4值单bit数据类型

用户自定义数据结构类型

typedef struct _frame{
......
} frane;

抽象端口

class direct_if
	:public virtual sc_interface
{
.....
}

sc_in/sc_out/sc_inout/sc_signal

端口类型,端口使用的数据类型可以时C++的数据类型,也可以是SystemC专用数据类型。

sc_in_clk  clk;   //端口定义,特殊情况,时钟定义
sc_in<sc_uint<8>>   wr_data;  //端口定义
sc_signal<sc_logic>   addr[16];   // 信号定义

信号赋值

通过read()和write()函数对信号读取和赋值

addr_o.write(addr_i.read());  //将addr_i的值赋给addr_o的值

敏感表

sensitive

sensitive为SC_METHOD和SC_THREAD进程设置敏感表

SC_METHOD(main);
sensitive<<clk_i.pos()<<rst_i.pos();

进程调用

SystemC中进程是一个基本执行单位,被调用来仿真目标系统的行为。
在SystemC中,进程不是层次化的,一个进程中不能包含或者直接调用其他进程,但进程可以调用非进程的函数和方法。

SC_METHOD

使用该进程调用方法,当敏感表有事件发生,该进程就会被调用。只有该类进程返回后仿真系统的事件才有可能前进,因此该类进程中不能使用wait()这样的语句。
SC_METHOD进程的敏感表在模块的构造函数内设定。

SC_THREAD

线程进程,该调用方式下线程能够被挂起和重新激活。线程进程使用wait()挂起,当敏感表中有时间发生,线程进程被重新激活运行到遇到新的wait()语句再重新挂起。当该进程一旦推出,将不能再次进入。

可以用来描述testbench的输入激励和输出捕获

SC_CTHREAD

钟控线程进程,继承于线程进程,只能再时钟的posedge或negaedge被触发或者激活,更接近于实际硬件的行为。

时序控制

wait()

用于SC_THREAD和SC_CTHREAD。用于将进程挂起等待下一个事件发生重新激活被挂起的进程。

wait();    //等待敏感表中有事件发生
wait(const sc_event& );   // 等待事件发生
wait(100, SC_NS);    // 进程将被挂起100ns后激活
wait(100, SC_NS, e1);   // 如果100ns内有事件e1发生,或者时间超过了100ns,进程将被激活

三、常用用法

仿真流程

顶层函数sc_main()

sc_main将设计中所有模块连接在一起,并引入时钟和波形跟踪。

int sc_main(int argc, char * argv[])
{
......
}

sc_start()

控制所有时钟的产生并在适当的时刻激活SystemC调度器。调度器控制整个仿真过程中的调度工作,包括激活进程,产生延迟、计算和更新变量和信号的值。
sc_start()只在sc_main()中调用。

sc_start();   // 没有参数,表示仿真一直进行直到遇到sc_stop()函数。
sc_start(1000);  // 仿真持续1000个时间单位停止或者期间遇到sc_stop()停止

波形跟踪

SystemC可以将仿真结果保存为VCD格式

  • 只有在整个仿真期间都存在的信号和变量才能被追踪,及模块中的信号和数据成员能被跟踪,函数的本地变量只有在被调用是才存在,所以不能跟踪
  • 任何类型的信号和变量都可以被跟踪
int sc_main(int, char **)
{
	sc_in<int>  datain;
	sc_trace_file * my_trace_file;
	my_trace_file = sc_create_vcd_trace_file("Wave");  // 产生一个Wave.vcd文件
	......
	sc_trace(my_trace_file, datain, "DataIn");     // 跟踪信号datain,datain在波形文件中被保存为DataIn
	......
	sc_close_vcd_trace_file(my_trace_file);   // 关闭打开的波形文件
	......
	return 0;
}

信息打印

SystemC定义了几种打印等级,INFO和WARNING可直接打开,ERROR类型由SystemC仿真器抛出异常,用户定义的异常处理代码去处理,对于FATAL,则停止仿真。

SC_REPORT_INFO( msg_type, msg);
SC_REPORT_WARNING( msg_type, msg);
SC_REPORT_ERROR( msg_type, msg);
SC_REPORT_FATAL( msg_type, msg);
sc_asserrt( expr );    // 打印的等级严重程度为FATAL

set_actions

修改打印等级

时钟相位关系

sc_set_time_resolution()
sc_set_default_time_unit()

四、事务处理级建模(TLM)

事务指两个时间点内发生的不可分割的活动。可以是一次总线读或写事务

基本通道

基本通道不包含任何进程,也不对外展现任何可见结构,也不能调用其他基本通道。

sc_mutex

互斥通道

sc_mutex protect;
......
protect.lock();
......
protect.unlock();

sc_fifo < T >

已实现好的FIFO通道,T指存储的数据类型。

sc_fifo<packet> fifo2(4);

sc_semaphore

信号量,限制同时使用某共享资源的进程的数量。

分层通道

相比基本通道,分层通道包含进程,可以直接操作其他通道。

五、TLM2.0

TLM2.0是专门为建模存储器映射的片上总线而设计的SystemC模型库
事务对象是一个C++类,TLM2.0预定义了一个通用净核类和相应的基础协议,以进一步保障不同提供商提供的模型互联互通。

核心接口

事务发起者和目标模块必须遵守的接口标准,包含四种:阻塞、非阻塞传送接口、DMI和调试传送接口

阻塞传送接口

支持松散时间模型。发起者通过调用一个函数就可以完成一个非阻塞事务处理。

class ...
{
	public: 
		virtual void b_transport(TRANS& trans, sc_core::sc_time& t)=0
}

b_transport(TRANS& trans, sc_core::sc_time& t)是欸阻塞事务处理接口的方法。trans是事务,t是双向时间参数。当发起者通过b_transport访问目标时,t为事务处理发起的时间;当目标返回时,t为事务处理结束时间。

非阻塞传送接口

非阻塞传送接口用于支持建模近似时间模型,该模型用于描述发起者和目标之间的事务处理过程的多相位的细节,每个相位都有一个明确的定时点。非阻塞接口有
tlm_phase是非阻塞传送接口模板类的缺省相位类型。tlm_phase的值有5个:UNINITIALIZED_PHASE=0, BEGIN_REQ=1, EDN_REQ, BEGIN_RESP, END_RESP。

事务定义

可以使用C++类来表示事务

class bus_payload     // 定义
{
	public:
		unsigned int address;
		......
};

bus_payload bp;      // 例化

开源项目

NVDLA

虚拟仿真平台

在这里插入图片描述

NVDLA 加速器硬件架构

在这里插入图片描述

NVDLA Quick Start

参考文献


  1. 李挥,陈曦, SystemC电子系统级设计 ↩︎

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:/a/624999.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

【软件测试】自动化测试 Selenium 篇(一)

一、什么是自动化测试 1、自动化测试介绍 自动化测试指软件测试的自动化&#xff0c;在预设状态下运行应用程序或者系统&#xff0c;预设条件包括正常和异常&#xff0c;最后评估运行结果。将人为驱动的测试行为转化为机器执行的过程。 自动化就相当于将人工测试手段进行转换…

2024中国(厦门)国际医用消毒及感控设备展览会

2024中国&#xff08;厦门&#xff09;国际医用消毒及感控设备展览会 2024 China (Xiamen) International Medical Disinfection And Infection Control Exhibition 致力于打造医用消毒及感控设备产业采购一站式平台 时 间&#xff1a;2024年11月1-3日 November 1-3, 2024 …

6、Qt—Log4Qt使用小记1

开发平台&#xff1a;Win10 64位 开发环境&#xff1a;Qt Creator 13.0.0 构建环境&#xff1a;Qt 5.15.2 MSVC2019 64位 一、Log4Qt简介 Log4Qt是使用Trolltech Qt Framework的Apache Software Foundation Log4j包的C 端口。它旨在供开源和商业Qt项目使用。所以 Log4Qt 是Apa…

智能革新:如何用会话式AI提升您的工作效率?

提升职场竞争力&#xff0c;会话式AI产品助你走在时代前沿 在当今的职场环境中&#xff0c;提高工作效率是每一位人力资源管理者追求的目标。而在效率的背后&#xff0c;往往隐藏着工作方法的正确与否。在众多提升效率的方法中&#xff0c;人工智能技术无疑是一股不可忽视的力量…

Linux-页(page)和页表

本文在页表方面参考了这篇博客&#xff0c;特别鸣谢&#xff01; 【Linux】页表的深入分析 1. 页帧和页框 页帧&#xff08;page frame&#xff09;是内存的最小可分配单元&#xff0c;也开始称作页框&#xff0c;Linux下页帧的大小为4KB。 内核需要将他们用于所有的内存需求&a…

CAN模块开发问题概述

问题一 问题描述 工作环境&#xff1a;ECU外接canoe 操作&#xff1a;使用CANoe模拟发送NM报文&#xff0c;然后停发或者断开CANoe 现象&#xff1a;程序跑死&#xff0c;调用call stack查看压栈情况如下图所示 定位代码如下图所示。可见是由于CAN模块在设置Controller状态时…

视频推拉流/视频直播点播平台EasyDSS使用Mysql数据库接口报错502如何处理?

视频推拉流/视频直播点播EasyDSS互联网直播平台支持一站式的上传、转码、直播、回放、嵌入、分享功能&#xff0c;具有多屏播放、自由组合、接口丰富等特点。平台可以为用户提供专业、稳定的直播推流、转码、分发和播放服务&#xff0c;全面满足超低延迟、超高画质、超大并发访…

MySql初学日记

MySql基础 概述 结构化查询语言(Structure Query Language)简称SQL。 是一种特殊的&#xff0c;标准的数据库编程语言&#xff0c;&#xff0c;一般的数据库管理系统都支持&#xff0c;用于对数据库进行增删改查等操作&#xff0c;实现数据持久化到本地。 使用完整的管理系…

如何判断点在多边形内部:OpenCV--cv2.pointPolygonTest()方法详解

《博主简介》 小伙伴们好&#xff0c;我是阿旭。专注于人工智能、AIGC、python、计算机视觉相关分享研究。 ✌更多学习资源&#xff0c;可关注公-仲-hao:【阿旭算法与机器学习】&#xff0c;共同学习交流~ &#x1f44d;感谢小伙伴们点赞、关注&#xff01; 《------往期经典推…

【嵌入式模块芯片开发】ADXL345的优化精确测量和角度计算(中断单次测量、卡尔曼滤波)

【嵌入式模块芯片开发】ADXL345的优化精确测量和角度计算&#xff08;中断单次测量、卡尔曼滤波&#xff09; 文章目录 ADXL345的一般读取方式ADXL345的中断读取方式&#xff08;单次测量&#xff09;角度计算卡尔曼滤波优化后完整代码附录&#xff1a;压缩字符串、大小端格式…

PCIE协议-2-事务层规范-TLP Prefix Rules

2.2.10 TLP前缀规则 以下规则适用于任何包含TLP前缀的TLP&#xff1a; 对于任何TLP&#xff0c;TLP中byte0的Fmt[2:0]字段中的值100b表示存在TLP前缀&#xff0c;并且Type[4]位指示TLP前缀的类型。 Type[4]位中的值0b表示存在本地TLP前缀。Type[4]位中的值1b表示存在端到端TL…

Echarts结课之小杨总结版

Echarts结课之小杨总结版 前言基础回顾框架sale框架代码&#xff1a; user框架基础代码&#xff1a; inventory框架基础代码&#xff1a; total框架基础代码&#xff1a; 基础设置1.标题(Title)2.图例(Legend)实现 3.工具提示(Tooltip)实现 4.X轴(X Axis) 和 Y轴(Y Axis)5.数据…

数据采集为什么会用到代理IP?

在数据采集中&#xff0c;代理IP是指通过使用代理服务器来隐藏或更改真实的IP地址&#xff0c;以访问目标网站或服务器。那么&#xff0c;数据采集为什么会用到代理IP呢&#xff1f;使用代理IP通常用于匿名地访问网站、绕过访问限制或提高数据采集的效率和安全性。 代理服务器作…

3d渲染的基本原理和流程是什么?渲染100邀请码1a12

3D渲染是把三维模型转化为二维图像的过程&#xff0c;通过它我们能得到逼真炫酷的图片效果&#xff0c;作为3D渲染人&#xff0c;我们需要了解很多知识&#xff0c;这里我们先介绍下它的基本原理和流程。 1、3D渲染的基本原理 3D渲染的基本原理是模拟光线在三维空间中的传播和…

银行业数据运营场景下的数据埋点方案

1、引言 随着金融科技的快速发展&#xff0c;银行业的数据运营变得日益重要。数据埋点作为数据收集的重要手段&#xff0c;对于银行业务的精细化运营、风险管理和产品迭代等方面起着至关重要的作用。本方案将针对银行业数据运营场景&#xff0c;设计一套完整的数据埋点方案&am…

算法-卡尔曼滤波之卡尔曼滤波的第一个方程:状态更新方程

通过一个例子来引出卡尔曼滤波的状态更新方程&#xff1b; 这里系统状态是金条的重量&#xff1b; 为了估计系统的状态&#xff0c;我们可以多次测量金条的重量&#xff0c;然后求平均值&#xff1b; 其中估计值是所有测量值的平均值&#xff1b; 由于我们使用的是静态模型&am…

css: 动态设置网格线

参考这个博客做了网格线&#xff1a; http://t.csdnimg.cn/y20vM 把网格颜色&#xff0c;宽高和透明度做成可配置项。 <e-collapse title"网格线" :expand"false"><t-form-item label"颜色"><el-color-picker v-model"fo…

如何在 Mac 上恢复已删除的文件

点击“删除”后立即后悔&#xff1f;不用担心。我们的教程介绍了如何恢复已删除的 Mac 文件、电子邮件、iTunes 音乐等&#xff0c;即使您没有 Time Machine 备份并且无需支付软件费用。 在 macOS 中丢失文件可能会非常痛苦&#xff0c;如果您是点击删除的人&#xff0c;情况会…

文件怎么转成二维码图片?长期使用的文件活码的制作方法

文件二维码是现在很常用的一种展现分享文件的方式&#xff0c;采用这种方式可以快速通过扫码的方式来查看文件内容&#xff0c;比如excel、word、ppt、pdf等文件格式都可以生成二维码之后在手机上预览内容。那么文件制作二维码的步骤是什么样的呢&#xff1f;下面就来教大家一招…

rabbitmq交换机,死信队列的简单例子

假设我们有一个场景&#xff0c;生产者有消息发到某个直连交换机&#xff0c;这个交换机上有两个队列分别存储两种类型的消息&#xff0c;但是与这两个队列相连的消费者太不争气了&#xff0c;处理消息有点慢&#xff0c;我们想5秒钟这个消息在队列中还没有被消费的话&#xff…