`timescale 1ns/1ns
module sequence_generator(
input clk,
input rst_n,
output reg data
);
reg [5:0] seq_dat;
always @(posedge clk or negedge rst_n)
begin
if(!rst_n) begin
seq_dat <= 6'b001_011;
end else begin
seq_dat <= {seq_dat[4:0],seq_dat[5]};
end
end
always @(posedge clk or negedge rst_n)
begin
if(!rst_n) begin
data <= 1'b0;
end else begin
data <= seq_dat[5];
end
end
endmodule
Eclipse - 查看工程或者文件的磁盘路径 1. Help -> Eclipse Marketplace -> Find: Explorer -> Eclipse Explorer 4.1.0 -> Install2. right-click -> Open in ExplorerReferences 1. Help -> Eclipse Marketplace -> Find: Explorer -> Eclipse Explo…
在Kubernetes上运行一个程序
基础运行环境
当前的运行环境为使用虚拟机构建的单master集群。
[rootk8s-master ~]# kubectl get nodes
NAME STATUS ROLES AGE VERSION
k8s-master Ready control-plane 109d v1.27.1
k8s-node1 Ready …
一、初步认识
正则表达式是由一些特定的字符组成,代表一个规则,可以用来检验数据格式是否合法,也可以在一段文本中查找满足要求的内容。
如果使用代码检验数据是否正确:
public class RegexTest1 {public static void main(Str…