【数字电路与逻辑设计】实验一 序列检测器

文章总览:YuanDaiMa2048博客文章总览


【数字电路与逻辑设计】实验一 序列检测器

    • 一、实验内容
    • 二、设计过程
      • (一)作出状态图或状态表
      • (二)状态化简
      • (三)状态编码
    • 三、源代码
      • (一)代码说明:
      • (二)代码内容:
    • 四、仿真验证与实验结果
      • (一)波形图
      • (二)波形图说明
      • (三)实验结果
    • 五、全部实验
      • 第一部分 组合逻辑
      • 第二部分 时序逻辑

一、实验内容

设计一个序列检测器检测序列 1110010。使用波形图进行仿真(至少要有一个检测成功
的波形)。

二、设计过程

(一)作出状态图或状态表

Moore型:

在这里插入图片描述

在这里插入图片描述

(二)状态化简

在该状态表中没有输出和次态完全相同的输入序列,因此已经是最简状态。

(三)状态编码

规则1:S0-S1,S0-S2,S0-S5,S0-S7,S1-S2,S1-S5,S1-S7,S2-S5,S2-S7,S5-S7,S2-S3,S1-S6,S0-S4;S4-S7
规则2:
S0-S1,S0-S2,S0-S3,S4-S3,S5-S1,S0-S6,S7-S2
规则3:
S0-S1-S2-S3-S4-S5-S6
规则4:S0分配逻辑“0”

编码方案如下
S0=“000”,S1=“001”,S2=“010”,S3=“011”,S4=“100”,S5=“110”,S6=“101”,S7=“111”

在这里插入图片描述

三、源代码

(一)代码说明:

① clk:输入,表示时钟脉冲信号,上升沿触发。

② rst:输入,表示清零。

③ X:输入,表示输入待检测的一位信号。

④ Z:输出,表示检测结果,如果检测到该序列则输出‘1’,否则为‘0’。

⑤ 利用case语句去分别讨论不同现态,在其中运用if语句分别讨论在X输入为1和0的情况下,次态的情况以及Z输出的值,从而实现功能。主要依据状态转移图完成代码编写。

(二)代码内容:

library ieee;
use ieee.std_logic_1164.all;
entity sequence_dectector	is
  port(clk,rst,X:in std_logic;
       Z:out std_logic);
end entity sequence_dectector;
architecture behav of sequence_dectector is
    type state is(s0,s1,s2,s3,s4,s5,s6,s7);
    signal present_state,next_state:state;
    begin
    process(rst,clk)
    begin
    if(rst='1')then
        present_state<=s0;
    elsif(clk'event and clk='1')then
        present_state<=next_state;
        end if;
    end process;

    process(X,present_state)
    begin
    case present_state is
        when s0 =>Z<='0';
            if(X='1')then
                next_state<=s1;
            else
                next_state<=s0;
            end if;
        when s1 =>Z<='0';
            if(X='1')then
                next_state<=s2;
            else
                next_state<=s0;
            end if;
        when s2 =>Z<='0';
            if(X='1')then
                next_state<=s3;
            else
                next_state<=s0;
            end if;
        when s3 =>Z<='0';
            if(X='0')then
                next_state<=s4;
            else
                next_state<=s3;
            end if;
        when s4 =>Z<='0';
            if(X='0')then
                next_state<=s5;
            else
                next_state<=s1;
            end if;
        when s5 =>Z<='0';
            if(X='1')then
                next_state<=s6;
            else
                next_state<=s0;
            end if;
        when s6 =>Z<='0';
            if(X='0')then
                next_state<=s7;
            else
                next_state<=s2;
            end if;
        when s7 =>Z<='1';
            if(X='0')then
                next_state<=s0;
            else
                next_state<=s1;
            end if;
        end case;
    end process;
end behav;

四、仿真验证与实验结果

(一)波形图

在这里插入图片描述

(二)波形图说明

图中,clk代表时钟脉冲(上升沿有效),rst表示复位(高电平有效),在X连续输入“1110010”时,在clk上升沿到来时,Z输出为1。

(三)实验结果

如图,证明该段程序可以实现序列“1110010”检测功能。

五、全部实验

第一部分 组合逻辑

  • 实验一 8421 码和格雷码的转换
  • 实验二 数值比较器
  • 实验三 全加器
  • 实验四 3 线-8 线译码器
  • 实验五 表决器

第二部分 时序逻辑

  • 实验一序列检测器
  • 实验二计数器
  • 实验三 8 位寄存器 74374

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:/a/932033.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

怎么实现邮件营销自动化?

邮件营销能够出色地帮助我们与客户建立良好关系。无论是新客户还是老客户&#xff0c;都可以通过邮件来达成较为良好的客户关系。然而&#xff0c;从消费者的角度来看&#xff0c;每个人都有自己独特的习惯和特点&#xff0c;没有人希望收到千篇一律、营销意味过重的邮件。因此…

【LeetCode: 203. 移除链表元素 + 链表】

&#x1f680; 算法题 &#x1f680; &#x1f332; 算法刷题专栏 | 面试必备算法 | 面试高频算法 &#x1f340; &#x1f332; 越难的东西,越要努力坚持&#xff0c;因为它具有很高的价值&#xff0c;算法就是这样✨ &#x1f332; 作者简介&#xff1a;硕风和炜&#xff0c;…

【opencv入门教程】12. 矩阵初始化

文章选自&#xff1a; 一、 数据类型 建立矩阵必须要指定矩阵存储的数据类型&#xff0c;图像处理中常用的几种数据类型如下&#xff1a;包括数据位深度8位、32位&#xff0c;数据类型U:uchar、F:float型以及通道数C1&#xff1a;单通道、C3&#xff1a;三通道、C4&#xff…

Jupyter Notebook认识、安装和启动以及使用

Jupyter Notebook认识、安装和启动以及使用 Jupyter Notebook认识、安装和启动以及使用 Jupyter Notebook认识、安装和启动以及使用一、认识Jupyter Notebook1.1 Jupyter Notebook概述1.2 Jupyter Notebook 重要特性(1)交互式代码执行(2)支持多种编程语言(3)富文本编辑(4)代码高…

SQL语句中AND与OR操作符的优先级问题

在SQL中&#xff0c;当AND和OR操作符同时出现时&#xff0c;优先级的处理可能会导致查询结果与预期不符。为了说明这一问题&#xff0c;我们可以看一个实际的例子。 假设需要查询价格在10美元及以上&#xff0c;且由DLL01或BRS01制造的所有产品。可以使用如下SQL语句&#xff…

FreeRTOS实现UART通信

串口通信 速战速决形式&#xff0c;大家走一遍就通&#xff1b; 本次实验验证&#xff1a; 配置文件 4、打开CubeMX 5、选择芯片型号&#xff0c;然后点击开始项目 6、配置时钟 配置烧录引脚&#xff0c;与FreeRTOS系统时钟 选择FreeRTOS 这里已经默认有一个任务&…

FaRM译文

No compromises: distributed transactions with consistency, availability, and performance Aleksandar Dragojevic, Dushyanth Narayanan, Edmund B. Nightingale, Matthew Renzelmann, Alex Shamis, Anirudh Badam, Miguel Castro Microsoft Research 目录 摘要 1. 引…

Ubuntu22.04深度学习环境安装【Anaconda+Pycharm】

anaconda可以提供多个独立的虚拟环境&#xff0c;方便我们学习深度学习&#xff08;比如复现论文&#xff09;&#xff1b; Pycharm编辑器可以高效的编写python代码&#xff0c;也是一个很不错的工具。 下面就记录下Ubuntu22.04的安装流程&#xff1a; 1.Anaconda安装 下载Ana…

Angular由一个bug说起之十一:排序之后无法展开 Row

问题现象 在使用 Material Table 时&#xff0c;排序功能触发了一个奇怪的 Bug&#xff1a;表格的 Row 无法展开。最终排查发现&#xff0c;问题的根源在于 trackBy 的错误使用。trackBy 方法接受两个参数&#xff1a;index&#xff08;数据索引&#xff09;和 row&#xff08;…

【无标题】建议用坚果云直接同步zotero,其他方法已经过时,容易出现bug

created: 2024-12-06T16:07:45 (UTC 08:00) tags: [] source: https://zotero-chinese.com/user-guide/sync author: 数据与文件的同步 | Zotero 中文社区 Excerpt Zotero 中文社区&#xff0c;Zotero 中文维护小组&#xff0c;Zotero 插件&#xff0c;Zotero 中文 CSL 样式 数…

【React】React常用开发工具

提示&#xff1a;文章写完后&#xff0c;目录可以自动生成&#xff0c;如何生成可参考右边的帮助文档 文章目录 前言一、React DevTools二、Redux DevTools三、Create React App 前言 React 是一种用于构建用户界面的流行 JavaScript 库&#xff0c;由于其灵活性、性能和可重用…

Thonny IDE + MicroPython + ESP32 + GY-302 测量环境中的光照强度

GY-302是一款基于BH1750FVI光照强度传感器芯片的模块。该模块能够直接测量出环境中的光照强度&#xff0c;并将光照强度转换为数字信号输出。其具体参数如下表所示。 参数名称 参数特性 测量范围 0-65535 LX 测量精度 在环境光下误差小于20%&#xff0c;能够自动忽略50/60…

华为HCIP AI EI Developer总结和备考建议

华为HCIP AI EI Developer总结和备考建议 最近空余时间考了一个华为的HCIP认证&#xff0c;属于AI方向的四个其中一个&#xff0c;这个主要侧重于机器学习和深度学习的基础知识&#xff0c;比较偏理论。 一、备考时间 根据华为官方建议&#xff0c;培训时长是9天&#xff0c;…

Jenkins 的HTTP Request 插件为什么不能配置Basic认证了

本篇遇到的问题 还是因为Jenkins需要及其所在的OS需要升级&#xff0c;升级策略是在一台新服务器上安装和配置最新版本的Jenkins&#xff0c; 当前的最新版本是&#xff1a; 2.479.2 LTS。 如果需要这个版本的话可以在官方站点下载&#xff0c;也可以到如下地址下载&#xff1…

运费微服务和redis存热点数据

目录 运费模板微服务 接收前端发送的模板实体类 插入数据时使用的entity类对象 BaseEntity类 查询运费模板服务 新增和修改运费模块 整体流程 代码实现 运费计算 整体流程 总的代码 查找运费模板方法 计算重量方法 Redis存入热点数据 1.从nacos导入共享redis配置…

Linux-USB驱动实验

USB 是很常用的接口&#xff0c;目前大多数的设备都是 USB 接口的&#xff0c;比如鼠标、键盘、USB 摄像头等&#xff0c;我们在实际开发中也常常遇到 USB 接口的设备&#xff0c;本章我们就来学习一下如何使能 Linux内核自带的 USB 驱动。注意&#xff01;本章并不讲解具体的 …

Linux系统下安装配置 Nginx 超详细图文教程

一、下载Nginx安装包 nginx官网&#xff1a;nginx: downloadhttp://nginx.org/en/download.html找到我们所需要版本&#xff0c;把鼠标移动到上面&#xff0c;右键打开链接进行下载 或者如果Linux联网&#xff0c;直接在Linux服务上使用wget命令把Nginx安装包下载到/usr/local/…

JDK8新特性之Stream流02

获取 Stream流的两种方式 目标 掌握根Collection获取流。 掌握Stream中的静态方法of获取流 java.util.stream.Stream 是JDK 8新加入的流接口 获取一个流非常简单,有一下几种常用的方式: 所有的Collection集合都可以通过stram默认方法获取流 Stream接口的…

开发类似的同款小程序系统制作流程

很多老板想要开发一款和别人家类似的同款小程序系统&#xff0c;但是不知道该怎么开发制作&#xff0c;本文就为大家详细介绍一下开发类似的同款小程序的流程为大家做参考。 一、前期准备找到对标小程序&#xff1a;首先&#xff0c;需要找到你想要模仿的同款小程序&#xff0…

[软件工程]九.可依赖系统(Dependable Systems)

9.1什么是系统的可靠性&#xff08;reliability&#xff09; 系统的可靠性反映了用户对系统的信任程度。它反映了用户对其能够按照预期运行且正常使用中不会失效的信心程度。 9.2什么是可依赖性&#xff08;dependablity&#xff09;的目的 其目的是覆盖系统的可用性&#x…