VIVADO IP核之FIR抽取器多相滤波仿真

VIVADO IP核之FIR抽取器多相滤波仿真(含有与MATLAB仿真数据的对比)

目录

前言

一、滤波器系数生成

二、用MATLAB生成仿真数据

三、VIVADO FIR抽取多相滤波器使用

四、VIVADO FIR抽取多相滤波器仿真

五、VIVADO工程下载

总结


前言

        关于FIR低通滤波器和多相滤波插值器的使用,我之前的文章已经介绍过了,本文将继续深入介绍FIR抽取器多相滤波的使用方法,并将FIR抽取多相滤波的结果与MATLAB仿真计算的结果比较,验证了FIR抽取器多相滤波使用正确。


提示:以下是本篇文章正文内容,欢迎各位阅读,转载请附上链接。

一、滤波器系数生成

        仿真假设有一个信号由两个正弦波叠加而成,分别是幅值为1,频率为5MHz,初相为0的正弦波和幅值为1,频率为15MHz,初相为0的正弦波。用120MHz的采样率对其进行采样,那么可以得到一个信号速率为120MSPS,包含频率为5MHz和15MHz正弦波的信号,接下来我们分别用MATLAB和FIR ip核对其进行4抽取多相滤波,滤波器的通带截止频率为10MHz,那么便可以得到一个信号速率为30MSPS,频率为5MHz的正弦波。

        滤波器设计如下,抽取之前速率为120M,所以这里滤波器的采样频率是120MHz,而不是30MHz。设计的滤波器为51阶,那么有52个系数,便于4抽取多相滤波。

 关于滤波器系数的量化成16bit以及生成coe文件可以参考我的另外一篇博客VIVADO IP核之FIR低通滤波仿真(含滤波器群延时仿真)_vivado fir滤波器-CSDN博客,里面有详细的介绍,本文就不再赘述。

二、用MATLAB生成仿真数据

        运行以下代码即可生成vivado仿真所需要的仿真数据data_decimation.txt。

rng default;
clc; 
clear;
close all;

fs =  120e6;     % 采样频率 120MHz
K = 1024;       % 快拍个数
t = 0:1/fs:(K-1)/fs;
f1 = 5e6;
f2 = 15e6;
x = cos(2*pi*f1*t) + cos(2*pi*f2*t);

h = fopen('data_decimation.txt','w');
for i=1:length(x)
    result= fi(x(i), 1, 16, 9).bin;
    fprintf(h,'%s\n',result);
end
fclose(h);

figure(1);
plot(x(1:60));
grid on;
figure(2);
signal_frequencyspectrum(x,fs);
grid on;
ylim([-90 0]);

lowpass_Fs=fs;            % 低通滤波器的采样频率
lowpass_Fpass=10000000;   % 低通滤波器的通带截止频率
lowpass_Fstop=14000000;   % 低通滤波器的阻带起始频率
% 下一行的lowpass是用fdatool设计的滤波器保存为matlab code自己修改了一下
[lowpass_b,lowpass_a] = tf(lowpass(lowpass_Fs,lowpass_Fpass,lowpass_Fstop));% 得到滤波器系数

y=conv(x,lowpass_b);
x_MLPF=y(2:4:end);
% lowpass_b1=lowpass_b(1:4:end);
% lowpass_b2=lowpass_b(2:4:end);
% lowpass_b3=lowpass_b(3:4:end);
% lowpass_b4=lowpass_b(4:4:end);
% 
% x1=x(1:4:end);
% x=[0 x];
% x2=x(1:4:end);
% x=[0 x];
% x3=x(1:4:end);
% x=[0 x];
% x4=x(1:4:end);
% 
% 
% x_MLPF1=conv(x1,lowpass_b1);
% x_MLPF2=conv(x2,lowpass_b2);
% x_MLPF3=conv(x3,lowpass_b3);
% x_MLPF4=conv(x4,lowpass_b4);
% 
% x_MLPF=[x_MLPF1 0]+x_MLPF2+x_MLPF3+x_MLPF4;

figure(3);
plot(x_MLPF(1:60));
grid on;
figure(4);
signal_frequencyspectrum(x_MLPF(ceil((length(lowpass_b)-1)/2)+1:end-floor((length(lowpass_b)-1)/2)),fs/4);
grid on;
ylim([-90 0]);


function Hd = lowpass(lowpass_Fs,lowpass_Fpass,lowpass_Fstop)
%LPF 返回离散时间滤波器对象。

% MATLAB Code
% Generated by MATLAB(R) 23.2 and Signal Processing Toolbox 23.2.
% Generated on: 02-Aug-2024 20:04:40

% Equiripple Lowpass filter designed using the FIRPM function.

% All frequency values are in Hz.
Fs = lowpass_Fs;  % Sampling Frequency

Fpass = lowpass_Fpass;   % Passband Frequency
Fstop = lowpass_Fstop;   % Stopband Frequency
Dpass = 0.057501127785;   % Passband Ripple
Dstop = 0.0031622776602;  % Stopband Attenuation
dens  = 20;               % Density Factor

% Calculate the order from the parameters using FIRPMORD.
[N, Fo, Ao, W] = firpmord([Fpass, Fstop]/(Fs/2), [1 0], [Dpass, Dstop]);

% Calculate the coefficients using the FIRPM function.
b  = firpm(N, Fo, Ao, W, {dens});
Hd = dfilt.dffir(b);

% [EOF]

MATLAB原始信号如下图所示:

低通滤波4抽取后的信号为(可见FIR滤波器有群延时):

三、VIVADO FIR抽取多相滤波器使用

在vivado中搜索FIR滤波器IP核并点进去设置它。滤波器命名为FIR_polyphase_LPF,导入第一步MATLAB生成的滤波器系数文件。Filter type 选择抽取,抽取因子设置为4。

输入信号采样速率设置为120MHz,时钟频率设置为120MHz,这样抽取前每个输入持续1个时钟周期,4抽取后就变成了每4个时钟出1个数据。

滤波器系数设置为16位有符号数,输入数据也为16位有符号数,输入数据的小数位数设置为9,这是因为第二步中MATLAB量化的输入数据含有9位小数。然后点击左边的Freq.Response就能看见滤波器的幅度响应。

滤波抽取调用FIR多相抽取滤波比我们先调用FIR低通滤波后再抽取节约资源的多。

四、VIVADO FIR抽取多相滤波器仿真

在工程中建立一个名为FIR_polyphase_LPF_test的tb.v文件。其中$readmemb("data_decimation.txt", signal)用于从文本中读取二进制数据赋值给signal。

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2024/08/06 15:36:14
// Design Name: 
// Module Name: FIR_polyphase_LPF_test
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//

module FIR_polyphase_LPF_test();

reg clk=1;
parameter PERIOD=2;
initial
begin
    forever #(PERIOD/2)  clk=~clk;
end

reg s_axis_data_tvalid=0;
wire s_axis_data_tready;
reg [15:0] s_axis_data_tdata=0;
wire m_axis_data_tvalid;
wire [39:0] m_axis_data_tdata;

integer i=0;
reg [15:0] signal[1023:0];

initial
begin
    $readmemb("data_decimation.txt", signal);//从data.txt中读入采样数据
    #(PERIOD*5)
    forever 
    begin
        @(negedge clk) 
        begin
            if(i<1024) 
                begin
                    s_axis_data_tvalid<=1;
                    s_axis_data_tdata <= signal[i];
                    i <= i + 1;
                end
            else
                begin
                    s_axis_data_tvalid<=0;
                    s_axis_data_tdata <=0;
                end
        end
    end 
end

integer dout_file;
initial 
begin
    dout_file=$fopen("E:/play_vivado/FIR_polyphase_decimation_test/Readme/m_axis_data_tdata.txt"); //打开所创建的文件,修改为自己想存储的位置
    if(dout_file == 0)
    begin 
        $display ("can not open the file!"); //创建文件失败,显示can not open the file!
        $stop;
    end
end

initial
begin
    forever
    begin
        @(posedge clk) 
        begin
            if(m_axis_data_tvalid)
            $fdisplay(dout_file,"%d",$signed(m_axis_data_tdata)); //保存有符号数据
        end
    end
end

FIR_polyphase_LPF u_FIR_polyphase_LPF (
  .aclk(clk),                               // input wire aclk
  .s_axis_data_tvalid(s_axis_data_tvalid),  // input wire s_axis_data_tvalid
  .s_axis_data_tready(s_axis_data_tready),  // output wire s_axis_data_tready
  .s_axis_data_tdata(s_axis_data_tdata),    // input wire [15 : 0] s_axis_data_tdata
  .m_axis_data_tvalid(m_axis_data_tvalid),  // output wire m_axis_data_tvalid
  .m_axis_data_tdata(m_axis_data_tdata)    // output wire [39 : 0] m_axis_data_tdata
);

endmodule

接下来将第二步生成的仿真数据保存到...\FIR_polyphase_decimation_test\FIR_polyphase_decimation_test.sim\sim_1\behav\xsim文件夹下:

然后点击run simulation。将s_axis_data_tdata的数据格式设置为定点有符号数,小数位数为9位。将m_axis_data_tdata_real的数据格式设置为定点有符号数,小数位数为26位。然后就能看见输入的数据依次为2.0,1.6738,0.8652...,和MATLAB生成的信号数据是对的上的。滤波后的数据依次为0.0116,-0.0245,-0.0466,...,和MATLAB滤波后的信号数据也是对的上的。

这里注意一下FIR 抽取多相滤波IP核是从第二个数据开始抽取的。

将输入输出设置为波形显示如下:

可知FIR 抽取多相滤波IP核既完成了滤波,又完成了抽取操作,抽取后是每4个clk出一个数据。

五、VIVADO工程下载

https://download.csdn.net/download/m0_66360845/89797080icon-default.png?t=O83Ahttps://download.csdn.net/download/m0_66360845/89797080


总结

        本文讲解了VIVADO中FIR抽取多相滤波器IP核的使用,通过仿真,与MATLAB计算的数据相比较,验证了VIVADO中FIR抽取多相滤波器本身是没有考虑滤波器的群延时的,以上的仿真结果很好的说明了如何使用VIIVADO FIR抽取多相滤波器。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mfbz.cn/a/884688.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

[论文精读]TorWard: Discovery, Blocking, and Traceback of Malicious Traffic Over Tor

期刊名称&#xff1a;IEEE Transactions on Information Forensics and Security 发布链接&#xff1a;TorWard: Discovery, Blocking, and Traceback of Malicious Traffic Over Tor | IEEE Journals & Magazine | IEEE Xplore 中文译名&#xff1a;TorWard&#xff1a;…

基于python+django+vue的电影数据分析及可视化系统

作者&#xff1a;计算机学姐 开发技术&#xff1a;SpringBoot、SSM、Vue、MySQL、JSP、ElementUI、Python、小程序等&#xff0c;“文末源码”。 专栏推荐&#xff1a;前后端分离项目源码、SpringBoot项目源码、Vue项目源码、SSM项目源码 精品专栏&#xff1a;Java精选实战项目…

【工具分享】BigBobRoss勒索病毒解密工具

前言 BigBobRoss勒索软件首次被发现于2019年初。它由C编写&#xff0c;并使用了QT框架。该勒索软件的加密方法相对基础&#xff0c;使用AES-128 ECB算法对受害者的文件进行加密。尽管加密技术并不复杂&#xff0c;但它的传播和影响力迅速扩展&#xff0c;导致大量用户的数据被…

vs2019从一个含main函数的cpp文件到生成动态生成库

小白&#xff0c;只会写简单的cpp文件&#xff0c;算法写完之后需要项目工程化&#xff0c;和上位机开发人员完成交接&#xff0c;记录一下。 文章目录 一、VS创建空项目二、编写代码 一、VS创建空项目 点击下一步&#xff0c; 我这里创建的项目名称为LidarCoreDetection 位置D…

AdaptIoT——制造业中使用因果关系的自我标签系统

0.概述 论文地址&#xff1a;https://arxiv.org/abs/2404.05976 在许多制造应用中&#xff0c;机器学习&#xff08;ML&#xff09;已被证明可以提高生产率。针对制造业应用提出了一些软件和工业物联网&#xff08;IIoT&#xff09;系统&#xff0c;以接收这些 ML 应用。最近&…

FastAPI 第六课 -- 请求和响应

目录 一. 前言 二. 请求数据 2.1. 查询参数 2.2. 路径参数 2.3. 请求体 三. 响应数据 3.1. 返回 JSON 数据 3.2. 返回 Pydantic 模型 3.3. 请求头和 Cookie 四. 重定向和状态码 五. 自定义响应头 一. 前言 在 FastAPI 中&#xff0c;请求&#xff08;Request&#…

每日论文7-17MWCL基于IMOS的小vco增益变化的VCO

《Small VCO-Gain Variation Adding a Bias-Shifted Inversion-Mode MOS Varactor》17MWCL 对于PLL来说&#xff0c;其中VCO的调谐增益KVCO越线性&#xff0c;其变化程度ΔKvco越小&#xff0c;对PLL的稳定有较大的好处。这篇文章给了一个很简单朴素而有效的补偿var非线性的方…

nuclei配合burpsuite快速生成POC

nuclei配合burpsuite快速生成POC 简介 Nuclei是一款基于YAML语法模板的开发的定制化快速漏洞扫描器。它使用Go语言开发&#xff0c;具有很强的可配置性、可扩展性和易用性 官网&#xff1a;https://nuclei.projectdiscovery.io Nuclei项目地址&#xff1a;https://github.com/…

2024热门AIPPT工具大盘点

随着人工智能技术的飞速发展&#xff0c;一种全新的 PPT 制作方式应运而生——Ai 制作 PPT。它如同一位智能助手&#xff0c;为我们带来了高效、创新且个性化的 PPT 制作体验。今天我们一起探讨有哪些工具可以助力我们轻松打造出令人惊艳的演示文稿的。 1.笔灵AIPPT 链接一下…

从零开始手写STL库:Stack

从零开始手写STL库–Stack的实现 Gihub链接&#xff1a;miniSTL 文章目录 从零开始手写STL库–Stack的实现一、stack是什么&#xff1f;二、stack要包含什么函数总结 一、stack是什么&#xff1f; 栈是一种后进先出&#xff08;LIFO&#xff0c;Last In First Out&#xff09…

【STM32】江科大STM32笔记汇总(已完结)

STM32江科大笔记汇总 STM32学习笔记课程简介(01)STM32简介(02)软件安装(03)新建工程(04)GPIO输出(05)LED闪烁& LED流水灯& 蜂鸣器(06)GPIO输入(07)按键控制LED 光敏传感器控制蜂鸣器(08)OLED调试工具(09)OLED显示屏(10)EXTI外部中断(11)对射式红外传感器计次 旋转编码器…

大功率蓝外光激光模组能使用多长时间?

在高科技迅猛发展的今天&#xff0c;大功率蓝外光激光模组作为精密光学技术的重要成果&#xff0c;广泛应用于科研探索、工业加工及安防监控等多个领域。其强大的光束能量与独特的波长特性&#xff0c;为各行各业带来了前所未有的效率提升与创新可能。然而&#xff0c;对于这一…

物理学基础精解【40】

文章目录 矢量积矢量积&#xff08;又称叉积、外积&#xff09;的几何意义一、面积表示二、垂直性三、方向性四、应用实例五、数学表达 矢量积&#xff08;叉积&#xff09;的坐标表示法矢量积的坐标表示法的几何意义矢量积的性质矢量积的应用 矢量积&#xff08;又称叉积、外积…

【frp】frp重启、frp启动、frp后台启动、frps dashboard等等

我写的关于frp配置的文章&#xff1a;frp配置 服务端frps 1. 创建服务文件 sudo nano /etc/systemd/system/frps.service2. 添加服务配置 在打开的文件中添加以下内容&#xff1a; [Unit] DescriptionFRPS Server Afternetwork.target[Service] Typesimple ExecStart/root…

力扣高频 SQL 50 题(基础版)|分析、题解

注意一些语法 1、group by出现在having前面&#xff0c;但是having中所使用的聚合必须是select中的 2、date类型之间的比较&#xff1a;datediff&#xff08;&#xff09; 差的绝对值 or 用字符框起来比较边界 3、算日期长度需要相减之后加一 4、round(, n)n默认是0&#x…

0基础跟德姆(dom)一起学AI 机器学习01-机器学习概述

【知道】人工智能 - Artificial Intelligence 人工智能 - AI is the field that studies the synthesis and analysis of computational agents that act intelligently - AI is to use computers to analog and instead of human brain - 释义 - 仿智&#xff1b; 像人…

在线翻译器工具横评:性能、准确率大比拼

无论是旅行者在异国他乡探寻风土人情&#xff0c;学者研究国外的前沿学术成果&#xff0c;还是商务人士与国际伙伴洽谈合作&#xff0c;都离不开一种高效、准确的语言沟通工具。而翻译器在线翻译能很好的帮我们解决这个问题。今天我们一起来探讨有那些好用的翻译工具。 1.福昕…

玄机--蚁剑流量

木马的连接密码是多少 黑客执行的第一个命令是什么 id 黑客读取了哪个文件的内容&#xff0c;提交文件绝对路径 /etc/passwd 黑客上传了什么文件到服务器&#xff0c;提交文件名 黑客上传的文件内容是什么 黑客下载了哪个文件&#xff0c;提交文件绝对路径 蚁剑流量特征总结 …

单调递增/递减栈

单调栈 单调栈分为单调递增栈和单调递减栈 单调递增栈&#xff1a;栈中元素从栈底到栈顶是递增的 单调递减栈&#xff1a;栈中元素从栈底到栈顶是递减的 应用&#xff1a;求解下一个大于x元素或者是小于x的元素的位置 给一个数组&#xff0c;返回一个大小相同的数组&#x…

4. 数据结构: 对象和数组

数字、布尔值和字符串是构建数据结构的原子。不过&#xff0c;许多类型的信息需要不止一个原子。对象允许我们对值&#xff08;包括其他对象&#xff09;进行分组&#xff0c;从而构建更复杂的结构。到目前为止&#xff0c;我们所构建的程序都受到限制&#xff0c;因为它们只能…