CORDIC Translate

随便记录一下下:

Cordic IP核使用说明以及避坑记录-CSDN博客

本次只用到了Translate,记录一下自己遇到的坑坑

实际配置:

`timescale 1ns / 1ns
 
 
module cordic_tb();
 
    reg clk;
    wire m_axis_dout_tvalid;
    reg s_axis_cartesian_tvalid = 0;
    wire [31 : 0] s_axis_cartesian_tdata;
    wire [31 : 0] m_axis_dout_tdata;
    
    wire [15:0] x_abs   = m_axis_dout_tdata[15:0];  //abs(15:0) fix16_14; 
    wire [15:0] x_phase = m_axis_dout_tdata[31:16]; //Phase(31:16) fix16_13;
    
    reg [15:0] x_r = 0;
    reg [15:0] x_i = 0;
    
    always #10 clk = ~clk;
    
    reg [5:0] cnt ;
    reg [1:0] index;
    always@(posedge clk) 
    if(cnt==22) begin cnt <= 0;  index <= index + 1;end
    else    cnt <= cnt + 1;
    
    always@(posedge clk) 
    case (index)
        2'b00: begin 
                    x_r <= 16'b0011_0000_0000_0000; 
                    x_i <= 16'b0011_0000_0000_0000; 
               end //fix16_14   0.75+0.75i    1
        2'b01: begin 
                    x_r <=~16'b0100_0000_0000_0000 + 1'b1; 
                    x_i <= 16'b0011_0000_0000_0000; 
               end //fix16_14   -1+0.75i   2
        2'b10:begin 
                    x_r <=~16'b0001_0000_0000_0000 + 1'b1; 
                    x_i <=~16'b0010_0000_0000_0000 + 1'b1; 
               end //fix16_14   -0.25-0.5i  3
        2'b11: begin 
                    x_r <= 16'b0001_0000_0000_0000; 
                    x_i <=~16'b0010_1000_0000_0000 + 1'b1; 
               end //fix16_14   0.25-0.625i   4
    endcase
    
    assign s_axis_cartesian_tdata = {x_i, x_r}; 
    
    initial begin
         clk = 0;
         index = 0;
         cnt = 0 ;
         index = 0 ;
         s_axis_cartesian_tvalid <= 0;
        #100 
        s_axis_cartesian_tvalid <= 1;
    end    
    
cordic_translate cordic_ (
                          .aclk(clk),                                        // input wire aclk
                          .s_axis_cartesian_tvalid(s_axis_cartesian_tvalid),  // input wire s_axis_cartesian_tvalid
                          .s_axis_cartesian_tdata(s_axis_cartesian_tdata),    // input wire [31 : 0] s_axis_cartesian_tdata
                          .m_axis_dout_tvalid(m_axis_dout_tvalid),            // output wire m_axis_dout_tvalid
                          .m_axis_dout_tdata(m_axis_dout_tdata)              // output wire [31 : 0] m_axis_dout_tdata  
                        );    
 //input  Real(15:0) fix16_14; Imag(31:16) fix16_14
 //output Real(15:0) fix16_14; Phase(31:16) fix16_13 
    
endmodule

// 调试后还是比较简单捏~

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mfbz.cn/a/801084.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

Apollo docker-compose

来源 https://www.apolloconfig.com/#/zh/deployment/quick-start-docker 路径 /usr/apollo Sql 自己复制 Vim docker-compose.yml #如果安装过了 记得删除mysql 历史文件 rm -r /var/lib/mysql version: 2.1services:apollo-quick-start:image: nobodyiam/apollo-quick…

《javeEE篇》--多线程(1)

进程 在讲线程之前我们先来简单了解一下进程 什么是进程 进程是操作系统对一个正在运行的程序的一种抽象&#xff0c;又或者说&#xff0c;可以把进程看作程序的一次运行过程(通俗的讲就是跑起来的程序)。 而且在操作系统内部&#xff0c;进程是资源分配的基本单位 PBC P…

食品企业销售管理体系升级的关键

盖世食品(股票代码&#xff1a;836826)是国家级农业产业化重点龙头企业&#xff0c;国家高新技术企业&#xff0c;拥有300种产品&#xff0c;1000SKU。从海洋蔬菜、营养菌菇、健康素菜到海珍味系列和鱼子系列&#xff0c;消费者经常可以从各大餐饮连锁店里吃到这家公司制作的凉…

前端开发(基础)

目录 一、Web前端项目初始化 环境准备 创建项目 前端工程化配置 引入组件库 开发规范 全局通用布局 基础布局结构 全局底部栏 动态替换内容 全局顶部栏 通用路由菜单 支持多套布局 请求 请求工具库 全局自定义请求 自动生成请求代码 全局状态管理 全局权限管…

跟着操作,解决iPhone怎么清理内存难题

在如今智能手机功能日益强大的时代&#xff0c;我们使用手机拍照、录制视频、下载应用、存储文件等操作都会占用手机内存。当内存空间不足时&#xff0c;手机运行会变得缓慢&#xff0c;甚至出现卡顿、闪退等现象。因此&#xff0c;定期清理iPhone内存是非常必要的。那么&#…

最新 taro v3 运行,报错 Error: [object Object] is not a PostCSS plugin 解决办法

报错如下&#xff1a; Error: [object Object] is not a PostCSS plugin 解决办法&#xff1a;pnpm install postcss -D 重新安装 postcss 依赖&#xff0c;重新运行即可。 结果&#xff1a;顺利运行

2000-2023年上市公司融资约束WW指数(含原始数据+计算结果)

2000-2023年上市公司融资约束WW指数&#xff08;含原始数据计算结果&#xff09; 1、时间&#xff1a;2000-2023年 2、来源&#xff1a;上市公司年报 3、指标&#xff1a;证券代码、证券简称、统计截止日期、是否发生ST或*ST或PT、是否发生暂停上市、行业代码、行业名称、上…

opengauss数据库兼容模式

一、官方说明 官方描述&#xff1a; 背景信息 初始时&#xff0c;openGauss包含两个模板数据库template0、template1&#xff0c;以及一个默认的用户数据库postgres。postgres默认的兼容数据库类型为O&#xff08;即DBCOMPATIBILITY A &#xff09;&#xff0c;该兼容类型下…

nginx的正向与反向代理

正向代理与反向代理的区别 虽然正向代理和反向代理都涉及代理服务器接收客户端请求并向服务端转发请求&#xff0c;但它们之间存在一些关键的区别&#xff1a; 正向代理&#xff1a; 在正向代理中&#xff0c;代理服务器代表客户端向服务器发送请求&#xff0c;并将服务…

怎么调整硬盘分区?让电脑运行更加高效!

硬盘分区是电脑存储管理的重要组成部分&#xff0c;合理的分区设置不仅能提高数据管理的效率&#xff0c;还能在一定程度上提升系统的运行性能。然而&#xff0c;随着使用需求的变化&#xff0c;我们可能需要对已有的硬盘分区进行调整。那么&#xff0c;我们该怎么调整硬盘分区…

PostgreSQL的学习心得和知识总结(一百四十八)|查看 PostgreSQL 17 中的新内置排序规则提供程序

目录结构 注&#xff1a;提前言明 本文借鉴了以下博主、书籍或网站的内容&#xff0c;其列表如下&#xff1a; 1、参考书籍&#xff1a;《PostgreSQL数据库内核分析》 2、参考书籍&#xff1a;《数据库事务处理的艺术&#xff1a;事务管理与并发控制》 3、PostgreSQL数据库仓库…

数码暴龙机(电波暴龙机)彩色复刻版!!| 使用Python、PySide6、pixilart自制windows桌面宠物

一、前言 数码暴龙机&#xff08;电波暴龙机&#xff09;是万代公司发售的一系列与《数码兽》系列相关的液晶玩具商品。这些产品融合了养成和对战元素&#xff0c;为玩家提供了一种虚拟养成和战斗的娱乐体验。也是很多人的童年回忆。最近在B站刷到讲解暴龙通关的教程和视频&…

ROS2 + 科大讯飞 初步实现机器人语音控制

环境配置&#xff1a; 电脑端&#xff1a; ubuntu22.04实体机作为上位机 ROS版本&#xff1a;ros2-humble 实体机器人&#xff1a; STM32 思岚A1激光雷达 科大讯飞语音SDK 讯飞开放平台-以语音交互为核心的人工智能开放平台 实现步骤&#xff1a; 1. 下载和处理科大讯飞语音模…

SQL Server的视图

SQL Server的视图 一、基础 SQL 视图&#xff08;Views&#xff09;是一种虚拟表&#xff0c;是基于 SQL 查询结果生成的。这些虚拟表可以包含来自一个或多个表的数据&#xff0c;并且可以像表一样查询&#xff1b;视图是一个表中的数据经过某种筛选后的显示方式&#xff0c;或…

Cornerstone3D导致浏览器崩溃的踩坑记录

WebGL: CONTEXT_LOST_WEBGL: loseContext: context lost ⛳️ 问题描述 在使用vue3vite重构Cornerstone相关项目后&#xff0c;在Mac本地运行良好&#xff0c;但是部署测试环境后&#xff0c;在window系统的Chrome浏览器中切换页面会导致页面崩溃。查看Chrome的任务管理器&am…

对话天润融通首席科学家:大模型的首要任务是为客户创造商业价值

2023年&#xff0c;AI大模型开启了企业数智化转型的新篇章。 不过前沿技术固然重要&#xff0c;但在增长见顶的存量背景下&#xff0c;先进技术带来的实用价值也尤为关键。 正如天润融通首席科学家田凤占所说&#xff1a;“现阶段最重要的是让大模型尽快和企业的业务相结合&a…

【Linux】进程间通信——消息队列和信号量

目录 消息队列&#xff08;message queue&#xff09; 信号量&#xff08;Semaphore&#xff09; system V版本的进程间通信方式有三种&#xff1a;共享内存&#xff0c;消息队列和信号量。之前我们已经说了共享内存&#xff0c;那么我们来看一下消息队列和信号量以及它们之间…

【嵌入式Linux】<总览> 网络编程(更新中)

文章目录 前言 一、网络知识概述 1. 网路结构分层 2. socket 3. IP地址 4. 端口号 5. 字节序 二、网络编程常用API 1. socket函数 2. bind函数 3. listen函数 4. accept函数 5. connect函数 6. read和recv函数 7. write和send函数 三、TCP编程 1. TCP介绍 2.…

新版本WPS不登录无法编辑的解决办法

原因分析&#xff1a;新版本的WPS因加入多种在线功能&#xff0c;建议登录账号获得更加体验 解决办法&#xff1a;首选第一种修改注册表后重启WPS&#xff0c;第二种仅作为临时满足工作需求&#xff0c;过一段时间会自动失效 方法一&#xff1a;键盘同时按下WINR键&#xff0c;…

【Python】基础语法(函数、列表和元组、字典、文件)

。一、函数 1、函数是什么 编程中的函数和数学中的函数有一定的相似之处。 数学上的函数&#xff0c;比如 y sin x&#xff0c;x 取不同的值&#xff0c;y 就会得到不同的结果。 编程中的函数是一段可以被重复使用的代码片段。 &#xff08;1&#xff09;求数列的和&…