关于FIFO Generator IP和XPM_FIFO在涉及位宽转换上的区别

在Xilinx FPGA中,要实现FIFO的功能时,大部分时候会使用两种方法:

  • FIFO Generator IP核
  • XPM_FIFO原语

FIFO Generator IP核的优点是有图形化界面,配置参数非常直观;缺点是参数一旦固定,想要更改的化就只能重新generate IP核。

XPM_FIFO原语的优点就是参数配置方便。

对于两者,还有一个非常重要的区别。!!!大小端不一样!!!

当din的位宽和dout的位宽非对称时。举个栗子:当din的位宽为8bit,dout的位宽为32bit时。

FIFO Generator IP核按大端输出,即先写进去的数据放在高8bit

XPM_FIFO原语按小端输出,即先写进去的数据放在低8bit

下面为RTL设计文件和测试结果。

//-----------------------------------------------------------------------------
//  
//  Copyright (c) JoeJoe.
//
//  Project  : fifo_test
//  Module   : fifo_test.v
//  Parent   : None
//  Children : None
//
//  Description: 
//     
//     
//     
//
//  Parameters:
//    
//    
//    
//
//  Local Parameters:
//
//  Notes       : 
//
//  Multicycle and False Paths
//    Some exist, embedded within the submodules. See the submodule
//    descriptions.
//

`timescale 1ns/1ps


module fifo_test (
     input sclk
    ,input srst_n
);


//***************************************************************************
// Parameter definitions
//***************************************************************************


//***************************************************************************
// Reg declarations
//***************************************************************************

    reg [2:0] wait_cnt;

    reg wr_en;
    reg [7:0] din;
    wire rd_en;
    wire prog_full;
    wire full;
    wire empty;
    wire [31:0] dout;
    
    reg xpm_wr_en;
    reg [7:0] xpm_din;
    wire xpm_rd_en;
    wire xpm_prog_full;
    wire xpm_full;
    wire xpm_empty;
    wire [31:0] xpm_dout;

//***************************************************************************
// Wire declarations
//***************************************************************************


//***************************************************************************
// Code
//***************************************************************************

    // 等待XPM FIFO not busy
    always @(posedge sclk) begin
        if (srst_n == 1'b0) begin
            wait_cnt <= 'd0;
        end
        else begin
            if (wait_cnt == 'd7) begin
                wait_cnt <= wait_cnt;
            end
            else begin
                wait_cnt <= wait_cnt + 'd1;
            end
        end
    end

    // 非满就写
    always @(posedge sclk) begin
        if (srst_n == 1'b0) begin
            wr_en <= 1'b0;
            din <= 'd0;
        end
        else begin
            if (prog_full == 1'b1) begin
                wr_en <= 1'b0;
                din <= 'd0;
            end
            else if (wait_cnt == 'd7) begin
                wr_en <= 1'b1;
                din <= din + 'd1;
            end
            else begin
                wr_en <= 1'b0;
                din <= 'd0;
            end
        end
    end

    // 非空就读
    assign rd_en = ~empty;

    // 非满就写
    always @(posedge sclk) begin
        if (srst_n == 1'b0) begin
            xpm_wr_en <= 1'b0;
            xpm_din <= 'd0;
        end
        else begin
            if (xpm_prog_full == 1'b1) begin
                xpm_wr_en <= 1'b0;
                xpm_din <= 'd0;
            end
            else if (wait_cnt == 'd7) begin
                xpm_wr_en <= 1'b1;
                xpm_din <= din + 'd1;
            end
            else begin
                xpm_wr_en <= 1'b0;
                xpm_din <= 'd0;
            end
        end
    end

    // 非空就读
    assign xpm_rd_en = ~xpm_empty;

    fifo_generator_0 U_FIFO_GENERATOR_0 (
         .clk              ( sclk           ) // input wire clk
        ,.srst             ( ~srst_n        ) // input wire srst
        ,.din              ( din            ) // input wire [7 : 0] din
        ,.wr_en            ( wr_en          ) // input wire wr_en
        ,.rd_en            ( rd_en          ) // input wire rd_en
        ,.dout             ( dout           ) // output wire [31 : 0] dout
        ,.full             ( full           ) // output wire full
        ,.empty            ( empty          ) // output wire empty
        ,.prog_full        ( prog_full      ) // output wire prog_full
    );

    xpm_fifo_sync #(
        .DOUT_RESET_VALUE   ( "0"      ), // String
        .ECC_MODE           ( "no_ecc" ), // String
        .FIFO_MEMORY_TYPE   ( "block"  ), // String
        .FIFO_READ_LATENCY  ( 0        ), // DECIMAL
        .FIFO_WRITE_DEPTH   ( 1024     ), // DECIMAL
        .FULL_RESET_VALUE   ( 0        ), // DECIMAL
        .PROG_EMPTY_THRESH  ( 10       ), // DECIMAL
        .PROG_FULL_THRESH   ( 500      ), // DECIMAL
        .RD_DATA_COUNT_WIDTH( 1        ), // DECIMAL
        .READ_DATA_WIDTH    ( 32       ), // DECIMAL
        .READ_MODE          ( "fwft"   ), // String
        .SIM_ASSERT_CHK     ( 0        ), // DECIMAL; 0=disable simulation messages, 1=enable simulation messages
        .USE_ADV_FEATURES   ( "0707"   ), // String
        .WAKEUP_TIME        ( 0        ), // DECIMAL
        .WRITE_DATA_WIDTH   ( 8        ), // DECIMAL
        .WR_DATA_COUNT_WIDTH( 1        )  // DECIMAL
     )
     U_XPM_FIFO_SYNC (
        .almost_empty   (             ), // 1-bit output: Almost Empty : When asserted, this signal indicates that
                                         // only one more read can be performed before the FIFO goes to empty.
  
        .almost_full    (             ), // 1-bit output: Almost Full: When asserted, this signal indicates that
                                         // only one more write can be performed before the FIFO is full.
  
        .data_valid     (             ), // 1-bit output: Read Data Valid: When asserted, this signal indicates
                                         // that valid data is available on the output bus (dout         ).
  
        .dbiterr        (             ), // 1-bit output: Double Bit Error: Indicates that the ECC decoder detected
                                         // a double-bit error and data in the FIFO core is corrupted.
  
        .dout           (xpm_dout     ), // READ_DATA_WIDTH-bit output: Read Data: The output data bus is driven
                                         // when reading the FIFO.
  
        .empty          (xpm_empty    ), // 1-bit output: Empty Flag: When asserted, this signal indicates that the
                                         // FIFO is empty. Read requests are ignored when the FIFO is empty,
                                         // initiating a read while empty is not destructive to the FIFO.
  
        .full           (xpm_full     ), // 1-bit output: Full Flag: When asserted, this signal indicates that the
                                         // FIFO is full. Write requests are ignored when the FIFO is full,
                                         // initiating a write when the FIFO is full is not destructive to the
                                         // contents of the FIFO.
  
        .overflow       (             ), // 1-bit output: Overflow: This signal indicates that a write request
                                         // (wren) during the prior clock cycle was rejected, because the FIFO is
                                         // full. Overflowing the FIFO is not destructive to the contents of the
                                         // FIFO.
  
        .prog_empty     (             ), // 1-bit output: Programmable Empty: This signal is asserted when the
                                         // number of words in the FIFO is less than or equal to the programmable
                                         // empty threshold value. It is de-asserted when the number of words in
                                         // the FIFO exceeds the programmable empty threshold value.
  
        .prog_full      (xpm_prog_full), // 1-bit output: Programmable Full: This signal is asserted when the
                                         // number of words in the FIFO is greater than or equal to the
                                         // programmable full threshold value. It is de-asserted when the number of
                                         // words in the FIFO is less than the programmable full threshold value.
  
        .rd_data_count  (             ), // RD_DATA_COUNT_WIDTH-bit output: Read Data Count: This bus indicates the
                                         // number of words read from the FIFO.
  
        .rd_rst_busy    (             ), // 1-bit output: Read Reset Busy: Active-High indicator that the FIFO read
                                         // domain is currently in a reset state.
  
        .sbiterr        (             ), // 1-bit output: Single Bit Error: Indicates that the ECC decoder detected
                                         // and fixed a single-bit error.
  
        .underflow      (             ), // 1-bit output: Underflow: Indicates that the read request (rd_en) during
                                         // the previous clock cycle was rejected because the FIFO is empty. Under
                                         // flowing the FIFO is not destructive to the FIFO.
  
        .wr_ack         (             ), // 1-bit output: Write Acknowledge: This signal indicates that a write
                                         // request(wr_en) during the prior clock cycle is succeeded.
  
        .wr_data_count  (             ), // WR_DATA_COUNT_WIDTH-bit output: Write Data Count: This bus indicates
                                         // the number of words written into the FIFO.
  
        .wr_rst_busy    (             ), // 1-bit output: Write Reset Busy: Active-High indicator that the FIFO
                                         // write domain is currently in a reset state.
  
        .din            (xpm_din      ), // WRITE_DATA_WIDTH-bit input: Write Data: The input data bus used when
                                         // writing the FIFO.
  
        .injectdbiterr  (1'b0         ), // 1-bit input: Double Bit Error Injection: Injects a double bit error if
                                         // the ECC feature is used on block RAMs or UltraRAM macros.
  
        .injectsbiterr  (1'b0         ), // 1-bit input: Single Bit Error Injection: Injects a single bit error if
                                         // the ECC feature is used on block RAMs or UltraRAM macros.
  
        .rd_en          (xpm_rd_en    ), // 1-bit input: Read Enable: If the FIFO is not empty, asserting this
                                         // signal causes data(on dout) to be read from the FIFO. Must be held
                                         // active-low when rd_rst_busy is active high.
  
        .rst            (~srst_n      ), // 1-bit input: Reset: Must be synchronous to wr_clk. The clock(s) can be
                                         // unstable at the time of applying reset, but reset must be released only
                                         // after the clock(s) is/are stable.
  
        .sleep          (1'b0         ), // 1-bit input: Dynamic power saving- If sleep is High, the memory/fifo
                                         // block is in power saving mode.
  
        .wr_clk         (sclk         ), // 1-bit input: Write clock: Used for write operation. wr_clk must be a
                                         // free running clock.
  
        .wr_en          (xpm_wr_en    )  // 1-bit input: Write Enable: If the FIFO is not full, asserting this
                                         // signal causes data(on din) to be written to the FIFO Must be held
                                         // active-low when rst or wr_rst_busy or rd_rst_busy is active high
     );      

endmodule

32bit写,8bit读
当din的位宽和dout的位宽非对称时。举个栗子:当din的位宽为32bit,dout的位宽为8bit时。

FIFO Generator IP核 高8bit先输出,低8bit最后输出

XPM_FIFO原语 低8bit先输出,高8bit最后输出

下面为RTL设计文件和测试结果。

//-----------------------------------------------------------------------------
//  
//  Copyright (c) JoeJoe.
//
//  Project  : fifo_test
//  Module   : fifo_test.v
//  Parent   : None
//  Children : None
//
//  Description: 
//     
//     
//     
//
//  Parameters:
//    
//    
//    
//
//  Local Parameters:
//
//  Notes       : 
//
//  Multicycle and False Paths
//    Some exist, embedded within the submodules. See the submodule
//    descriptions.
//

`timescale 1ns/1ps


module fifo_test (
     input sclk
    ,input srst_n
);


//***************************************************************************
// Parameter definitions
//***************************************************************************


//***************************************************************************
// Reg declarations
//***************************************************************************

    reg [2:0] wait_cnt;

    reg wr_en;
    reg [31:0] din;
    wire rd_en;
    wire prog_full;
    wire full;
    wire empty;
    wire [7:0] dout;
    
    reg xpm_wr_en;
    reg [31:0] xpm_din;
    wire xpm_rd_en;
    wire xpm_prog_full;
    wire xpm_full;
    wire xpm_empty;
    wire [7:0] xpm_dout;

//***************************************************************************
// Wire declarations
//***************************************************************************


//***************************************************************************
// Code
//***************************************************************************

    // 等待XPM FIFO not busy
    always @(posedge sclk) begin
        if (srst_n == 1'b0) begin
            wait_cnt <= 'd0;
        end
        else begin
            if (wait_cnt == 'd7) begin
                wait_cnt <= wait_cnt;
            end
            else begin
                wait_cnt <= wait_cnt + 'd1;
            end
        end
    end

    // 非满就写
    always @(posedge sclk) begin
        if (srst_n == 1'b0) begin
            wr_en <= 1'b0;
            din <= 'd0;
        end
        else begin
            if (prog_full == 1'b1) begin
                wr_en <= 1'b0;
                din <= din;
            end
            else if (wait_cnt == 'd7) begin
                wr_en <= 1'b1;
                din <= din + 'd1;
            end
            else begin
                wr_en <= 1'b0;
                din <= 'd0;
            end
        end
    end

    // 非空就读
    assign rd_en = ~empty;

    // 非满就写
    always @(posedge sclk) begin
        if (srst_n == 1'b0) begin
            xpm_wr_en <= 1'b0;
            xpm_din <= 'd0;
        end
        else begin
            if (xpm_prog_full == 1'b1) begin
                xpm_wr_en <= 1'b0;
                xpm_din <= xpm_din;
            end
            else if (wait_cnt == 'd7) begin
                xpm_wr_en <= 1'b1;
                xpm_din <= xpm_din + 'd1;
            end
            else begin
                xpm_wr_en <= 1'b0;
                xpm_din <= 'd0;
            end
        end
    end

    // 非空就读
    assign xpm_rd_en = ~xpm_empty;

    // fifo_generator_0 U_FIFO_GENERATOR_0 (
    //      .clk              ( sclk           ) // input wire clk
    //     ,.srst             ( ~srst_n        ) // input wire srst
    //     ,.din              ( din            ) // input wire [7 : 0] din
    //     ,.wr_en            ( wr_en          ) // input wire wr_en
    //     ,.rd_en            ( rd_en          ) // input wire rd_en
    //     ,.dout             ( dout           ) // output wire [31 : 0] dout
    //     ,.full             ( full           ) // output wire full
    //     ,.empty            ( empty          ) // output wire empty
    //     ,.prog_full        ( prog_full      ) // output wire prog_full
    // );

    fifo_generator_1 U_FIFO_GENERATOR_1 (
         .clk              ( sclk           ) // input wire clk
        ,.srst             ( ~srst_n        ) // input wire srst
        ,.din              ( din            ) // input wire [31 : 0] din
        ,.wr_en            ( wr_en          ) // input wire wr_en
        ,.rd_en            ( rd_en          ) // input wire rd_en
        ,.dout             ( dout           ) // output wire [7 : 0] dout
        ,.full             ( full           ) // output wire full
        ,.empty            ( empty          ) // output wire empty
        ,.prog_full        ( prog_full      ) // output wire prog_full
      );

    xpm_fifo_sync #(
        .DOUT_RESET_VALUE   ( "0"      ), // String
        .ECC_MODE           ( "no_ecc" ), // String
        .FIFO_MEMORY_TYPE   ( "block"  ), // String
        .FIFO_READ_LATENCY  ( 0        ), // DECIMAL
        .FIFO_WRITE_DEPTH   ( 256      ), // DECIMAL
        .FULL_RESET_VALUE   ( 0        ), // DECIMAL
        .PROG_EMPTY_THRESH  ( 10       ), // DECIMAL
        .PROG_FULL_THRESH   ( 125      ), // DECIMAL
        .RD_DATA_COUNT_WIDTH( 1        ), // DECIMAL
        .READ_DATA_WIDTH    ( 8        ), // DECIMAL
        .READ_MODE          ( "fwft"   ), // String
        .SIM_ASSERT_CHK     ( 0        ), // DECIMAL; 0=disable simulation messages, 1=enable simulation messages
        .USE_ADV_FEATURES   ( "0707"   ), // String
        .WAKEUP_TIME        ( 0        ), // DECIMAL
        .WRITE_DATA_WIDTH   ( 32       ), // DECIMAL
        .WR_DATA_COUNT_WIDTH( 1        )  // DECIMAL
     )
     U_XPM_FIFO_SYNC (
        .almost_empty   (             ), // 1-bit output: Almost Empty : When asserted, this signal indicates that
                                         // only one more read can be performed before the FIFO goes to empty.
  
        .almost_full    (             ), // 1-bit output: Almost Full: When asserted, this signal indicates that
                                         // only one more write can be performed before the FIFO is full.
  
        .data_valid     (             ), // 1-bit output: Read Data Valid: When asserted, this signal indicates
                                         // that valid data is available on the output bus (dout         ).
  
        .dbiterr        (             ), // 1-bit output: Double Bit Error: Indicates that the ECC decoder detected
                                         // a double-bit error and data in the FIFO core is corrupted.
  
        .dout           (xpm_dout     ), // READ_DATA_WIDTH-bit output: Read Data: The output data bus is driven
                                         // when reading the FIFO.
  
        .empty          (xpm_empty    ), // 1-bit output: Empty Flag: When asserted, this signal indicates that the
                                         // FIFO is empty. Read requests are ignored when the FIFO is empty,
                                         // initiating a read while empty is not destructive to the FIFO.
  
        .full           (xpm_full     ), // 1-bit output: Full Flag: When asserted, this signal indicates that the
                                         // FIFO is full. Write requests are ignored when the FIFO is full,
                                         // initiating a write when the FIFO is full is not destructive to the
                                         // contents of the FIFO.
  
        .overflow       (             ), // 1-bit output: Overflow: This signal indicates that a write request
                                         // (wren) during the prior clock cycle was rejected, because the FIFO is
                                         // full. Overflowing the FIFO is not destructive to the contents of the
                                         // FIFO.
  
        .prog_empty     (             ), // 1-bit output: Programmable Empty: This signal is asserted when the
                                         // number of words in the FIFO is less than or equal to the programmable
                                         // empty threshold value. It is de-asserted when the number of words in
                                         // the FIFO exceeds the programmable empty threshold value.
  
        .prog_full      (xpm_prog_full), // 1-bit output: Programmable Full: This signal is asserted when the
                                         // number of words in the FIFO is greater than or equal to the
                                         // programmable full threshold value. It is de-asserted when the number of
                                         // words in the FIFO is less than the programmable full threshold value.
  
        .rd_data_count  (             ), // RD_DATA_COUNT_WIDTH-bit output: Read Data Count: This bus indicates the
                                         // number of words read from the FIFO.
  
        .rd_rst_busy    (             ), // 1-bit output: Read Reset Busy: Active-High indicator that the FIFO read
                                         // domain is currently in a reset state.
  
        .sbiterr        (             ), // 1-bit output: Single Bit Error: Indicates that the ECC decoder detected
                                         // and fixed a single-bit error.
  
        .underflow      (             ), // 1-bit output: Underflow: Indicates that the read request (rd_en) during
                                         // the previous clock cycle was rejected because the FIFO is empty. Under
                                         // flowing the FIFO is not destructive to the FIFO.
  
        .wr_ack         (             ), // 1-bit output: Write Acknowledge: This signal indicates that a write
                                         // request(wr_en) during the prior clock cycle is succeeded.
  
        .wr_data_count  (             ), // WR_DATA_COUNT_WIDTH-bit output: Write Data Count: This bus indicates
                                         // the number of words written into the FIFO.
  
        .wr_rst_busy    (             ), // 1-bit output: Write Reset Busy: Active-High indicator that the FIFO
                                         // write domain is currently in a reset state.
  
        .din            (xpm_din      ), // WRITE_DATA_WIDTH-bit input: Write Data: The input data bus used when
                                         // writing the FIFO.
  
        .injectdbiterr  (1'b0         ), // 1-bit input: Double Bit Error Injection: Injects a double bit error if
                                         // the ECC feature is used on block RAMs or UltraRAM macros.
  
        .injectsbiterr  (1'b0         ), // 1-bit input: Single Bit Error Injection: Injects a single bit error if
                                         // the ECC feature is used on block RAMs or UltraRAM macros.
  
        .rd_en          (xpm_rd_en    ), // 1-bit input: Read Enable: If the FIFO is not empty, asserting this
                                         // signal causes data(on dout) to be read from the FIFO. Must be held
                                         // active-low when rd_rst_busy is active high.
  
        .rst            (~srst_n      ), // 1-bit input: Reset: Must be synchronous to wr_clk. The clock(s) can be
                                         // unstable at the time of applying reset, but reset must be released only
                                         // after the clock(s) is/are stable.
  
        .sleep          (1'b0         ), // 1-bit input: Dynamic power saving- If sleep is High, the memory/fifo
                                         // block is in power saving mode.
  
        .wr_clk         (sclk         ), // 1-bit input: Write clock: Used for write operation. wr_clk must be a
                                         // free running clock.
  
        .wr_en          (xpm_wr_en    )  // 1-bit input: Write Enable: If the FIFO is not full, asserting this
                                         // signal causes data(on din) to be written to the FIFO Must be held
                                         // active-low when rst or wr_rst_busy or rd_rst_busy is active high
     );      

endmodule

8bit写,32bit读
参考文档如下:《FIFO Generator v13.2 Product Guide》(PG057)
FIFO Generator IP
支持的非对称比

FIFO Generator IP,小位宽写,大位宽读,大端。
大转小
大转小时序图
FIFO Generator IP,大位宽写,小位宽读。
小转大
小转大时序图
疑问:XPM_FIFO为什么不可以设置大小端,以及为什么不和FIFO Generator IP统一???

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:/a/628826.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

幻兽帕鲁Palworld服务器手动部署

目录 帕鲁官方文档手动安装steamcmd通过steamcmd安装帕鲁后端客户端连接附录&#xff1a;PalServer.sh的启动项附录&#xff1a;配置文件 帕鲁官方文档 https://tech.palworldgame.com/ 手动安装steamcmd 创建steam用户 sudo useradd -m steam sudo passwd steam下载steamc…

迭代的难题:敏捷团队每次都有未完成的工作,如何破解?

各位是否遇到过类似的情况&#xff1a;每次迭代结束后&#xff0c;团队都有未完成的任务&#xff0c;很少有完成迭代全部的工作&#xff0c;相反&#xff0c;总是将上期未完成的任务重新挪到本期计划会中&#xff0c;重新规划。敏捷的核心之一是“快速迭代&#xff0c;及时反馈…

ssm基于BS的项目监管系统+jsp论文

系统简介 信息数据从传统到当代&#xff0c;是一直在变革当中&#xff0c;突如其来的互联网让传统的信息管理看到了革命性的曙光&#xff0c;因为传统信息管理从时效性&#xff0c;还是安全性&#xff0c;还是可操作性等各个方面来讲&#xff0c;遇到了互联网时代才发现能补上…

Unity 2021 升级至团结引擎

UnityWebRequest 报错 InvalidOperationException: Insecure connection not allowed 解决方法 不兼容jdk 8 需要安装 JDK11 64bit 必须JDK 11&#xff0c;高版本也不行 安卓环境hub 未给我安装完全。 Data\PlaybackEngines\AndroidPlayer 并没有NDK,SDK。但是 HUB 显示已经…

IT行业的现状和未来发展趋势:技术创新、市场需求、人才培养、政策法规和社会影响

&#x1f3a9; 欢迎来到技术探索的奇幻世界&#x1f468;‍&#x1f4bb; &#x1f4dc; 个人主页&#xff1a;一伦明悦-CSDN博客 ✍&#x1f3fb; 作者简介&#xff1a; C软件开发、Python机器学习爱好者 &#x1f5e3;️ 互动与支持&#xff1a;&#x1f4ac;评论 &…

【大数据】计算引擎MapReduce

目录 1.概述 1.1.前言 1.2.大数据要怎么计算&#xff1f; 1.3.什么是MapReduce&#xff1f; 2.架构 3.工作流程 4.shuffle 4.1.map过程 4.2.reduce过程 1.概述 1.1.前言 本文是作者大数据系列专栏的其中一篇&#xff0c;专栏地址&#xff1a; https://blog.csdn.ne…

Java | 增强for底层工作机制

✍&#x1f3fc;作者&#xff1a;周棋洛&#xff0c;bilidown开发者。 ♉星座&#xff1a;金牛座 &#x1f3e0;主页&#xff1a;我的个人网站 &#x1f310;关键&#xff1a;Java 增强for 工作机制 目录 引言增强for循环语法增强for工作机制探究简单总结1.对于实现了Iterable接…

zip压缩unzip解压缩、gzip和gunzip解压缩、tar压缩和解压缩

一、tar压缩和解压缩 tar [选项] 打包文件名 源文件或目录 选项含义-c创建新的归档文件-x从归档文件中提取文件-v显示详细信息-f指定归档文件的名称-z通过gzip进行压缩或解压缩-j通过bzip2进行压缩或解压缩-J通过xz进行压缩或解压缩-p保留原始文件的权限和属性–excludePATTE…

Spring AI项目Open AI对话接口开发指导

文章目录 创建Spring AI项目配置项目pom、application文件controller接口开发接口测试 创建Spring AI项目 打开IDEA创建一个新的spring boot项目&#xff0c;填写项目名称和位置&#xff0c;类型选择maven&#xff0c;组、工件、软件包名称可以自定义&#xff0c;JDK选择17即可…

CC工具箱使用指南:【界线导出Excel(一横)】

一、简介 群友定制工具。 这个工具的目的是将面要素的边界线的属性导出Excel。 给定的Excel模板如下&#xff1a; 结果需要输出每一段界一的起点、终点的坐标&#xff0c;这里以度分秒的方法表达。 每段界线的方位角以及方向&#xff0c;方向按16位方位角描述&#xff1a; …

决策规划仿真平台的搭建

以下内容笔记据来自于b站up主忠厚老实的老王&#xff0c;视频&#xff1b;链接如下&#xff1a; 自动驾驶决策规划算法第二章第一节 决策规划仿真平台搭建_哔哩哔哩_bilibili 使用到的软件有matlab、prescan、carsim以及visual stadio。 我电脑上软件的版本是matlab2022a&am…

华为Pura独立?或将成立全新子品牌

近日&#xff0c;华为官方公布了P系列将正式升级为“Pura”系列的消息&#xff0c;并且有可能演变成为一个全新的子品牌。多年以来&#xff0c;P系列一直以影像功能与颇具时尚感的设计而闻名。而这次品牌升级似乎并不局限于智能手机&#xff0c;经营范围似乎覆盖了手表、珠宝等…

PG 检查点管理与Oracle的比较

之前介绍过&#xff0c;在任何数据库中&#xff0c;一条DML操作执行都需要在内存中执行&#xff0c;但当操作越来越多&#xff0c;总有时候内存会写满&#xff0c;这时候就需要把内存中的块写入到磁盘&#xff0c;释放内存&#xff0c;保存数据。 写入到磁盘这一步&#xff0c;…

小米15曝光?可能会要稍微涨价

也许是感受到了智能机市场的逐渐复苏&#xff0c;最近各大手机品牌发售新品的速度明显加快了。从4月份的Redmi、一加&#xff0c;再到5月份一大堆vivo、OPPO新机型的发布。而近日&#xff0c;有关小米14即将发售的消息也是悄咪咪的放了出来。 去年发售的小米14可以说是狠狠地让…

Hadoop Java API操作 及读取序列化文件(04-05-06)

针对于04-05-06班级整合。 1.创建java项目 2.修改pom.xml文件 添加依赖 <dependencies><dependency><groupId>org.apache.hadoop</groupId><artifactId>hadoop-client</artifactId><version>3.1.4</version></dependenc…

近几年上门按摩市场为何如此火爆,有哪些功能?

近几年上门预约推拿按摩市场为何如此火爆&#xff0c;这个融合了休闲、保健与养生的行业&#xff0c;其消费频率高且受众广泛&#xff0c;不受任何限制。 而在按摩服务类系统平台中&#xff0c;小程序以其轻便与易用性脱颖而出。用户只需轻松一扫&#xff0c;便能迅速进入应用&…

UART中的DMA数据处理过程

一、DMA简介 DMA (Direct Memory Access) &#xff0c;直接内存存储器&#xff0c;使用它在做数据传输时能够大大减轻CPU的负担。 DMA&#xff0c;全称 Direct Memory Access&#xff0c;即直接存储器访问。用来提供在外设和存储器之间或者存储器和存储器之间的高速数据传输。D…

R语言学习笔记

学习资料&#xff1a; 菜鸟教程&#xff1a;https://www.runoob.com/r/r-setup.html 1、查看 R 包的安装目录.libPaths() 查看已安装的包&#xff1a;library() search()函数可以输出当前加载的环境&#xff1a; 2、利用conda创建新的环境&#xff0c;并安装包&#xff08;…

经验分享智能产品从0到1全流程

大家好&#xff0c;今天继续分享文章&#xff0c;这篇文章在网络上搜索资料时&#xff0c;有感而发&#xff0c;分享一个智能产品从0到1的整个生命周期中需要经历哪些阶段&#xff0c;我这里以开发一个mini补光灯为例&#xff0c;深入探索各个阶段可能涉及的具体活动和考虑事项…

鸿蒙OS开发:【一次开发,多端部署】(应用UX设计原则)

应用UX设计原则 设计原则 当为多种不同的设备开发应用时&#xff0c;有如下设计原则&#xff1a; 差异性 充分了解所要支持的设备&#xff0c;包括屏幕尺寸、交互方式、使用场景、用户人群等&#xff0c;对设备的特性进行针对性的设计。 一致性 除了要考虑每个设备的特性…