FPGA基础 -- Verilog常用关键字

Verilog 是一种硬件描述语言(HDL),用于描述和设计数字电路。下面是 Verilog 的常用语法和关键概念:


1. Verilog 设计结构

Verilog 代码通常由 模块(module) 组成,每个模块表示一个硬件单元,具有输入和输出端口。

module and_gate (
    input wire a,       // 输入信号 a
    input wire b,       // 输入信号 b
    output wire y       // 输出信号 y
);
    assign y = a & b;   // 逻辑与操作
endmodule
  • module 定义一个模块
  • input / output 定义输入输出端口
  • assign 进行组合逻辑赋值

2. 变量类型

Verilog 变量用于存储信号值,常见变量类型:

  • wire —— 组合逻辑信号(无存储能力)
  • reg —— 触发器存储信号(用于时序逻辑)
  • integer —— 存储整数(常用于循环变量)

示例:

wire a, b, y;     // 组合逻辑信号
reg clk, rst;     // 时序信号
integer i;        // 整数变量

3. 组合逻辑

组合逻辑直接由输入信号决定输出信号,不依赖时钟。

3.1 assign 语句

assign y = a & b;  // 逻辑与运算
assign s = a ^ b;  // 异或运算
assign c = (a & b) | (b & c_in) | (a & c_in);  // 组合逻辑表达式

3.2 always @(*) 组合逻辑块

always @(*) begin
    y = a & b;  // 组合逻辑
end

4. 时序逻辑

时序逻辑依赖时钟信号,通常使用 always @(posedge clk) 定义。

always @(posedge clk or negedge rst) begin
    if (!rst)
        q <= 0;  // 复位
    else
        q <= d;  // D 触发器
end
  • posedge clk 表示在 时钟上升沿 触发
  • negedge rst 表示 复位信号下降沿 触发
  • <= 非阻塞赋值(用于寄存器存储)

5. 条件语句

5.1 if-else 语句

always @(posedge clk) begin
    if (a == 1'b1)
        y <= 1'b1;
    else
        y <= 1'b0;
end

5.2 case 语句

always @(posedge clk) begin
    case (sel)
        2'b00: y <= a;
        2'b01: y <= b;
        2'b10: y <= c;
        default: y <= 0;
    endcase
end

6. 循环结构

6.1 for 循环

integer i;
always @(posedge clk) begin
    for (i = 0; i < 8; i = i + 1) begin
        mem[i] <= data[i];
    end
end

6.2 while 循环

integer i = 0;
always @(posedge clk) begin
    while (i < 8) begin
        mem[i] <= data[i];
        i = i + 1;
    end
end

7. 进程间通信

7.1 initial 语句

initial 语句在仿真时执行一次,常用于仿真测试。

initial begin
    a = 0; b = 1;
    #10 a = 1;  // 10 时间单位后 a 变为 1
    #20 b = 0;  // 20 时间单位后 b 变为 0
end

7.2 always 语句

always @(posedge clk) begin
    y <= a & b;
end

8. 任务和函数

8.1 task 任务

任务 task 可以有多个输入输出参数:

task add;
    input a, b;
    output sum;
    begin
        sum = a + b;
    end
endtask

8.2 function 函数

function 只能返回一个值:

function [3:0] add;
    input [3:0] a, b;
    add = a + b;
endfunction

9. 测试平台(Testbench)

测试平台 用于验证 Verilog 设计的正确性。

module testbench;
    reg a, b;
    wire y;

    and_gate uut (.a(a), .b(b), .y(y));  // 连接被测模块

    initial begin
        a = 0; b = 0;
        #10 a = 1;
        #10 b = 1;
        #10 a = 0; b = 0;
        #10 $stop;  // 结束仿真
    end
endmodule

10. 关键语法总结

语法说明示例
module定义模块module my_module(); ... endmodule
input / output端口定义input a, b; output y;
wire组合逻辑信号wire a, b, y;
reg时序逻辑信号reg clk, rst;
assign组合逻辑赋值assign y = a & b;
always @(*)组合逻辑块always @(*) y = a & b;
always @(posedge clk)时序逻辑块always @(posedge clk) q <= d;
if-else条件判断if (a) y = 1; else y = 0;
case多路选择case(sel) 2'b00: y = a; endcase
for循环for (i = 0; i < 8; i = i + 1)
task任务task add(input a, b, output sum);
function函数function [3:0] add(input [3:0] a, b);
initial初始化块initial begin a = 0; #10 a = 1; end

总结

  1. Verilog 是硬件描述语言(HDL),主要用于 FPGA/ASIC 设计
  2. 使用 module 组织代码,每个模块表示一个硬件单元
  3. wire 表示组合逻辑,reg 表示寄存器存储
  4. 组合逻辑使用 assignalways @(*),时序逻辑使用 always @(posedge clk)
  5. 支持 if-elsecasefor 等控制结构
  6. initial 用于仿真,always 用于时序逻辑
  7. taskfunction 提供代码复用能力
  8. 测试平台 testbench 用于验证设计

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:/a/983834.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

C++入门——输入输出、缺省参数

C入门——输入输出、缺省参数 一、C标准库——命名空间 std C标准库std是一个命名空间&#xff0c;全称为"standard"&#xff0c;其中包括标准模板库&#xff08;STL&#xff09;&#xff0c;输入输出系统&#xff0c;文件系统库&#xff0c;智能指针与内存管理&am…

简单的二元语言模型bigram实现

内容总结归纳自视频&#xff1a;【珍藏】从头开始用代码构建GPT - 大神Andrej Karpathy 的“神经网络从Zero到Hero 系列”之七_哔哩哔哩_bilibili 项目&#xff1a;https://github.com/karpathy/ng-video-lecture Bigram模型是基于当前Token预测下一个Token的模型。例如&#x…

用Deepseek写一个五子棋微信小程序

在当今快节奏的生活中&#xff0c;休闲小游戏成为了许多人放松心情的好选择。五子棋作为一款经典的策略游戏&#xff0c;不仅规则简单&#xff0c;还能锻炼思维。最近&#xff0c;我借助 DeepSeek 的帮助&#xff0c;开发了一款五子棋微信小程序。在这篇文章中&#xff0c;我将…

【Raspberry Pi 5 测评】无显示器上手指南

【Raspberry Pi 5 测评】无显示器上手指南 一、硬件开箱二、系统安装2.1 安装 Raspberry Pi Imager2.2 安装 Rasberry Pi OS 三、系统登录3.1 ping测试3.2 SSH登录 四、远程桌面4.1 启用VNC服务4.2 使用VNC客户端 五、软件安装5.1 替换软件源5.2 安装常用软件 六、参考链接 摘要…

图像标注与OCR工具分析

图像标注和OCR&#xff08;光学字符识别&#xff09;工具的代码进行详细分析。该工具允许用户在图像上进行矩形标注&#xff0c;使用 OCR 对标注区域进行文本识别&#xff0c;并将结果保存为 Excel 文件。同时&#xff0c;用户可以保存和加载标注&#xff0c;清除标注&#xff…

使用Node.js从零搭建DeepSeek本地部署(Express框架、Ollama)

目录 1.安装Node.js和npm2.初始化项目3.安装Ollama4.下载DeepSeek模型5.创建Node.js服务器6.运行服务器7.Web UI对话-Chrome插件-Page Assist 1.安装Node.js和npm 首先确保我们机器上已经安装了Node.js和npm。如果未安装&#xff0c;可以通过以下链接下载并安装适合我们操作系…

基于粒子群算法的配电网重构

一、配电网重构原理 定义&#xff1a; 配电网重构是指在满足运行约束的前提下&#xff0c;通过改变开关状态优化配电网性能&#xff0c;提高系统的经济效益和运行效率。 拓扑约束&#xff1a; 配电网必须保持径向拓扑&#xff0c;避免环网或孤岛。采用算法控制开关状态的选择&…

下载Hugging Face模型的几种方式

1.网页下载 直接访问Hugging Face模型页面&#xff0c;点击“File and versions”选项卡&#xff0c;选择所需的文件进行下载。 2.使用huggingface-cli 首先&#xff0c;安装huggingface_hub: pip install huggingface_hub 然后&#xff0c;使用以下命令下载模型&#xff1…

【Dubbo+Zookeeper】——SpringBoot+Dubbo+Zookeeper知识整合

&#x1f3bc;个人主页&#xff1a;【Y小夜】 &#x1f60e;作者简介&#xff1a;一位双非学校的大二学生&#xff0c;编程爱好者&#xff0c; 专注于基础和实战分享&#xff0c;欢迎私信咨询&#xff01; &#x1f386;入门专栏&#xff1a;&#x1f387;【MySQL&#xff0…

DeepSeek R1 学习笔记

DeepSeek为了方便大众的使用&#xff0c;同时提供了6个蒸馏版本 DeekSeek使用方式 1.大众方式&#xff1a; 网页版&#xff1a;DeepSeek App版&#xff1a;手机各大应用商店下载安装DeepSeek-AI智能对话助手 2.专业用户 开发者&#xff1a;调用API DeepSeek服务器 网址&a…

《从零构建企业级容器镜像生态:Harbor与Registry双星架构实战手记》

目录 一、企业级镜像中枢&#xff1a;Harbor架构深度解析 1.Harbor介绍 环境准备 2. Harbor战略部署 下载安装Harbor 关键配置文件 报错一 添加本地解析 登录测试Harbor 报错二 登录成功 测试 成功显示 二、轻量化镜像驿站&#xff1a;Registry闪电战部署 简单介…

FPGA之USB通信实战:基于FX2芯片的Slave FIFO回环测试详解

FPGA之Usb数据传输 Usb 通信 你也许会有疑问&#xff0c;明明有这么多通信方式和数据传输&#xff08;SPI、I2C、UART、以太网&#xff09;为什么偏偏使用USB呢? 原因有很多&#xff0c;如下&#xff1a; 1. 高速数据传输能力 高带宽&#xff1a;USB接口提供了较高的数据传…

mysql中in和exists的区别?

大家好&#xff0c;我是锋哥。今天分享关于【mysql中in和exists的区别?】面试题。希望对大家有帮助&#xff1b; mysql中in和exists的区别? 1000道 互联网大厂Java工程师 精选面试题-Java资源分享网 在 MySQL 中&#xff0c;IN 和 EXISTS 都用于进行子查询&#xff0c;但它…

Unity摄像机跟随物体

功能描述 实现摄像机跟随物体&#xff0c;并使物体始终保持在画面中心位置。 实现步骤 创建脚本&#xff1a;在Unity中创建一个新的C#脚本&#xff0c;命名为CameraFollow。 代码如下&#xff1a; using UnityEngine;public class CameraFollow : MonoBehaviour {public Tran…

springcloud sentinel教程

‌QPS&#xff08;Queries Per Second&#xff09;即每秒查询率 TPS&#xff0c;每秒处理的事务数目 PV&#xff08;page view&#xff09;即页面浏览量 UV 访问数&#xff08;Unique Visitor&#xff09;指独立访客访问数 一、初识Sentinel 什么是雪崩问题? 微服务之间相…

【Tools】Windows下Git 2.48安装教程详解

00. 目录 文章目录 00. 目录01. Git简介02. Git参考资料03. Git安装04. Git测试05. 附录 01. Git简介 Git(读音为/gɪt/。)是一个开源的分布式版本控制系统&#xff0c;可以有效、高速的处理从很小到非常大的项目版本管理。 [1] Git 是 Linus Torvalds 为了帮助管理 Linux 内核…

【Linux系统编程】初识系统编程

目录 一、什么是系统编程1. 系统编程的定义2. 系统编程的特点3. 系统编程的应用领域4. 系统编程的核心概念5. 系统编程的工具和技术 二、操作系统四大基本功能1. 进程管理&#xff08;Process Management&#xff09;2. 内存管理&#xff08;Memory Management&#xff09;3. 文…

神经网络|(十四)|霍普菲尔德神经网络-Hebbian训练

【1】引言 前序学习进程中&#xff0c;除了对基本的神经网络知识进行了学习&#xff0c;还掌握了SOM神经网络原理&#xff0c;文章链接包括且不限于&#xff1a; 神经网络|(十一)|神经元和神经网络-CSDN博客 神经网络|(十二)|常见激活函数-CSDN博客 神经网络|(十三)|SOM神经…

Hive八股

Hive八股 说一下GC模型遇到过gc调优吗yarn有哪些了解讲讲hqI转化为MR源码hbase读写流程hive数据倾斜page cache和buffer的区别和相同近来你关注了大数据生态哪些领域的发展&#xff0c;比如新的feature&#xff0c;新的领域等 Hive1Hive1hive简介2hive架构3hive与Hadoop的关系4…

Docker 部署 Graylog 日志管理系统

Docker 部署 Graylog 日志管理系统 前言一、准备工作二、Docker Compose 配置三、启动 Graylog 服务四、访问 Graylog Web 界面总结 前言 Graylog 是一个开源的日志管理平台&#xff0c;专为实时日志收集、分析和可视化设计。它支持强大的搜索功能&#xff0c;并且与 Elastics…