FPGA学习(6)-基础语法参数化设计阻塞与非阻塞

目录

1.两种参数化不改变源文件,只改仿真文件的值

2.参数化设计实现模块的重用

2.1不用参数化方法

2.1.1源文件

 2.1.2仿真文件

2.1.3仿真波形及实验

2.2 用参数方法

2.2.1调用之前写的led灯闪烁模块,在本源函数中,例化4次调用之前的模块。

2.2.2 源文件

2.2.3仿真文件

2.2.4 电路图的理解

3阻塞与非阻塞语句 


1.两种参数化不改变源文件,只改仿真文件的值

parameter的作用:

(1)在模块被例化时,在例化它的代码中,使用一定的语句修改其值。

(2)在仿真和实际运行时,分别要取不同的常量,使用parameter进行定义。

(3)仿真时,在testbench中通过defparam语句修改其值,以新的值进行仿真。

在源文件定义好参数后,仿真文件中有两种方式:

方式1:

该语句需要放在例化后,且修改仿真文件参数时,需要使用被例化后的模块名。先定义,后使用。

defparam run_led3_inst0.MCNT=25_000-1;

方式2:

直接在例化中进行修改。

 run_led3 
    #(
        .MCNT(25_00-1)
    )

    run_led3_inst0(
    .clk(clk),
    .reset(reset),
    .led(led)
);

2.参数化设计实现模块的重用

实现下图所示的功能

2.1不用参数化方法

2.1.1源文件

即建立4个计数器,分别计数到各自需要的次数,然后进行累加。这里将后面的会合在一起写了,犯了一个错误:不能出现与rst并行的if语句。再用begin...end相隔开。vivado综合出现ambiguous clock in event control - 知乎 (zhihu.com)

module led_four(
    clk,
    reset,
    led
    );
input clk;
input reset;
output reg [3:0]led;
reg [24:0]counter1;
reg [24:0]counter2;
reg [24:0]counter3;
reg [21:0]counter4;

always@(posedge clk or negedge reset)
if(!reset)
    counter1<=0;
else if(counter1 == 25_000_000-1)
    counter1<=0;
else
    counter1<=counter1+1'd1;
    
always@(posedge clk or negedge reset)
if(!reset)
    counter2<=0;
else if(counter2 == 125_000_00-1)
    counter2<=0;
else
    counter2<=counter2+1'd1;
    
always@(posedge clk or negedge reset)
if(!reset)
    counter3<=0;
else if(counter3 == 625_000_0-1)
    counter3<=0;
else
    counter3<=counter3+1'd1;
    
always@(posedge clk or negedge reset)
if(!reset)
    counter4<=0;
else if(counter4 == 25_000_00-1)
    counter4<=0;
else
    counter4<=counter4+1'd1;

always@(posedge clk or negedge reset)
begin
if(!reset)
    led[3:0]<=1'b0;
else begin
    if(counter1 == 25_000_000-1)
        led[0]<=!led[0];
    if(counter2 == 125_000_00-1)
        led[1]<=!led[1];
    if(counter3 == 625_000_0-1)
        led[2]<=!led[2];
    if(counter4 == 25_000_00-1)
        led[3]<=!led[3];
end
end
endmodule

 2.1.2仿真文件

`timescale 1ns / 1ns

module led_four_tb();
reg clk;
reg reset;
wire [3:0]led;

led_four led_four(
    .clk(clk),
    .reset(reset),
    .led(led)
);

initial clk=1;
always #10 clk=~clk;

initial begin
reset=0;
#201;
reset=1;
#2000_000_000;
#2000_000_000;
$stop;
end
endmodule

2.1.3仿真波形及实验

仿真波形如图所示,实验现象与仿真波形一致。

2.2 用参数方法

2.2.1调用之前写的led灯闪烁模块,在本源函数中,例化4次调用之前的模块。

另一种方法是把要调用模块的.v文件先复制到本源文件工程中。

 这种方式不得勾选copy栏选项。

修改调用的源文件,设一个参数。 

module led_light(
    reset,
    clk,
    led
    );
    input reset;
    input clk;
    output reg led;
    reg [24:0]counter;
    parameter MCNT = 25_000_000-1;
    
    always@(posedge clk or negedge reset)
    if(!reset)
    counter<=1'b0;
    else if (counter == MCNT)
    counter<=1'b0;
    else
    counter<=counter+1'd1;
    
    always@(posedge clk or negedge reset)
    if(!reset)
    led<=1'b0;
    else if(counter == MCNT )
    led<=!led;
endmodule

2.2.2 源文件

分别例化这4个计数值,MCNT就好比结构体一样,MCNT1是参数。

module led_four2(
    clk,
    reset,
    led
);
input clk;
input reset;
output [3:0]led;
parameter MCNT1 = 25_000_000-1;
parameter MCNT2 = 125_000_00-1;
parameter MCNT3 = 625_000_0-1;
parameter MCNT4 = 25_000_00-1;

led_light
#(  .MCNT(MCNT1)
)
led_light_inst0(
    .reset(reset),
    .clk(clk),
    .led(led[3])
    );
    
led_light 
#(  .MCNT(MCNT2)
)
led_light_inst1(
    .reset(reset),
    .clk(clk),
    .led(led[2])
);

led_light led_light_inst2(
    .reset(reset),
    .clk(clk),
    .led(led[1])
);
defparam led_light_inst2.MCNT=MCNT3;

    
led_light led_light_inst3(
    .reset(reset),
    .clk(clk),
    .led(led[0])
);
defparam led_light_inst2.MCNT=MCNT4;
endmodule

2.2.3仿真文件

即将源文件中的参数嵌套一次,可以跟1节一样修改这个值,改变计数的时间。

`timescale 1ns / 1ns

module led_four_tb();
reg clk;
reg reset;
wire [3:0]led;

led_four2 led_four2(
    .clk(clk),
    .reset(reset),
    .led(led)
);
defparam led_four2.MCNT1=250_000_000-1;
defparam led_four2.MCNT2=125_000_00-1;
defparam led_four2.MCNT3=625_000_0-1;
defparam led_four2.MCNT4=25_000_00-1;

initial clk=1;
always #10 clk=~clk;
initial begin
reset=0;
#201;
reset=1;
#2000_000_000;
#2000_000_000;
$stop;
end
endmodule

仿真结果,这里最开始左边端口出现空白,以为是仿真文件的模块名写错,其实这个都没什么关系,模块名最好与文件名一致。就是忘加端口了,一直改模块名可能会让软件找不到路径,导致仿真一直卡住,从而无法进行仿真。

修改每个计数时间,进行仿真验证 ,计数时间已经被修改。

2.2.4 电路图的理解

调用最开始的底层模块,然后用例化4个,分别计数至各自的时间。

3阻塞与非阻塞语句 

时序逻辑电路一般使用<=非阻塞赋值方式,确保所有相关信号在同一时刻被一致地更新,防止出现由于顺序不同导致的竞争冒险问题。组合逻辑电路一般使用=阻塞赋值方式,输出立即响应输入变化。

阻塞语句画电路图时依次往后,在out之前的一般没有触发器,在out之后的一般就需要触发器。非阻塞语句我认为只要有=号就会有触发器。

 仿真图区别在于out2的第一级加法器没有经过一拍D触发器的存储,直接和当前的c进行了运算。

 把led闪烁的例程,分别把某句变为阻塞型,查看仿真例子。左边一直为低电平,右边也是,视频说的是高电平是一个仅20ns的脉冲,这里有点理解不了电路图。

 改前:

 改后:

 

右边改后:

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:/a/897144.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

Nginx15-Lua扩展模块

零、文章目录 Nginx15-Lua扩展模块 1、ngx_lua模块概念 淘宝开发的ngx_lua模块通过将lua解释器集成进Nginx&#xff0c;可以采用lua脚本实现业务逻辑&#xff0c;由于lua的紧凑、快速以及内建协程&#xff0c;所以在保证高并发服务能力的同时极大地降低了业务逻辑实现成本。…

ECharts饼图-饼图纹理,附视频讲解与代码下载

引言&#xff1a; 在数据可视化的世界里&#xff0c;ECharts凭借其丰富的图表类型和强大的配置能力&#xff0c;成为了众多开发者的首选。今天&#xff0c;我将带大家一起实现一个饼图图表&#xff0c;通过该图表我们可以直观地展示和分析数据。此外&#xff0c;我还将提供详…

信号(二)【信号的产生】

目录 1. 键盘组合键2. kill 命令3. 系统调用4. 异常5. 软件条件6. Term 和 Core 的区别 本篇文章介绍五种信号产生的方式&#xff0c;键盘组合键、kill 命令、系统调用、代码异常&#xff08;进程异常&#xff09;、软件条件来产生信号。 1. 键盘组合键 信号&#xff08;一&a…

商汤科技十周年公布新战略,将无缝集成算力、模型及应用

10月18日&#xff0c;恰逢商汤科技十周年庆典&#xff0c;“2024商汤十周年国际论坛&#xff1a;迈向AI 2.0共融新时代”在香港科学园成功举办。 据「TMT星球」了解&#xff0c;来自全球的行业领袖、政府代表、AI专家共聚于此&#xff0c;共同探讨AI行业的未来。 活动上&…

Linux隐藏权限介绍

隐藏权限概览 在Linux系统中&#xff0c;有时即便是以root用户身份&#xff0c;你也可能遇到无法修改特定文件的情况。这种限制往往源自chattr命令的应用&#xff0c;该命令用于为文件或目录设置“隐藏权限”&#xff0c;即底层属性&#xff0c;以增强系统安全性。值得注意的是…

Standard IO

为了提高可移植性&#xff0c;将通用IO接口经过再封装就形成了标准IO&#xff0c;标准IO不仅适用于Unix环境&#xff0c;也兼容非Unix环境&#xff0c;这也是为什么说我们应该尽可能的使用标准IO&#xff0c;通用IO通过文件描述符fd来与文件交互&#xff0c;为了以示区分&#…

极氪MIX:一台只有你想不到,没有它做不到的“家用神车”

了解极氪品牌的朋友应该都知道 极氪一直都在尝试打破目前汽车或者生活的一些现状 更愿意创造一些破界、超前的产品 比如说将家庭城市通勤、假日露营、自驾旅行、户外垂钓、朋友相聚等多场景融入一个空间的极氪MIX 这款车突破了SUV或MPV车型形态的固有限制 前悬仅 865mm&am…

【ArcGIS Pro实操第八期】绘制WRF三层嵌套区域

【ArcGIS Pro实操第八期】绘制WRF三层嵌套区域 数据准备ArcGIS Pro绘制WRF三层嵌套区域Map-绘制三层嵌套区域更改ArcMap地图的默认显示方向指定数据框范围 Map绘制研究区Layout-布局出图 参考 本博客基于ArcGIS Pro绘制WRF三层嵌套区域&#xff0c;具体实现图形参考下图&#x…

Centos安装Nginx 非Docker

客户的机器属于 Centos7 系列&#xff0c;由于其较为陈旧&#xff0c;2024开始众多镜像和软件源都已失效。此篇文章将详细记录在 Centos7 操作系统上从零开始安装 Nginx 的整个流程。 本文Nginx是安装在/usr/local/nginx下 详细步骤如下&#xff1a; 准备Nginx安装包&#x…

安防监控摄像头图传模组,1公里WiFi无线传输方案,监控新科技

在数字化浪潮汹涌的今天&#xff0c;安防监控领域也迎来了技术革新的春风。今天&#xff0c;我们就来聊聊这一领域的产品——摄像头图传模组&#xff0c;以及它如何借助飞睿智能1公里WiFi无线传输技术&#xff0c;为安防监控带来未有的便利与高效。 一、安防监控的新篇章 随着…

程序员适合玩的游戏:《人力资源机器》提升编程思维【Human Resource Machine】

程序员适合玩的游戏&#xff1a;《人力资源机器》提升编程思维【Human Resource Machine】 在当今这个技术日新月异的时代&#xff0c;编程已经成为一门不可或缺的技能。对于程序员来说&#xff0c;不仅需要扎实的专业知识&#xff0c;还需要不断锻炼逻辑思维和解决问题的能力…

用.NET开发跨平台应用程序采用 Avalonia 与MAUI如何选择

Avalonia是一个强大的框架&#xff0c;使开发人员能够使用.NET创建跨平台应用程序。它使用自己的渲染引擎绘制UI控件&#xff0c;确保在Windows、macOS、Linux、Android、iOS和WebAssembly等不同平台上具有一致的外观和行为。这意味着开发人员可以共享他们的UI代码&#xff0c;…

RNN、LSTM 与 Bi-LSTM

一. RNN 循环神经网络&#xff08;Recurrent Neural Network, RNN&#xff09;是深度学习领域一类具有内部自连接的神经网络能够学习复杂的矢量到矢量的映射。 最大特点&#xff1a;前面的序列数据可以用作后面的结果预测中。 一个简单的循环神经网络结构&#xff0c;其结构包…

如何写一个视频编码器演示篇

先前写过《视频编码原理简介》&#xff0c;有朋友问光代码和文字不太真切&#xff0c;能否补充几张图片&#xff0c;今天我们演示一下&#xff1a; 这是第一帧画面&#xff1a;P1&#xff08;我们的参考帧&#xff09; 这是第二帧画面&#xff1a;P2&#xff08;需要编码的帧&…

Golang | Leetcode Golang题解之第480题滑动窗口中位数

题目&#xff1a; 题解&#xff1a; type hp struct {sort.IntSlicesize int } func (h *hp) Push(v interface{}) { h.IntSlice append(h.IntSlice, v.(int)) } func (h *hp) Pop() interface{} { a : h.IntSlice; v : a[len(a)-1]; h.IntSlice a[:len(a)-1]; return v }…

SCCB协议与IIC协议不同

SCCB开始信号与结束信号都与IIC协议的大概一致&#xff0c;这里就不细讲了 开始、结束信号参考&#xff1a;【I2C】IIC读写时序_iic读时序-CSDN博客 SSCB写时序&#xff1a; 即&#xff1a;start phase_1 phase_2 phase_3 stop SCCB读时序&#xff1a; 即&#xff…

电脑视频剪辑大比拼,谁更胜一筹?

随着短视频的火爆&#xff0c;越来越多的人开始尝试自己动手制作视频&#xff0c;无论是记录生活点滴还是创作个性短片&#xff0c;一款好用的视频剪辑软件是必不可少的。今天&#xff0c;我们就从短视频运营的角度&#xff0c;来聊聊几款热门的电脑视频剪辑软件&#xff0c;看…

在做题中学习(66):两数相加

解法&#xff1a;模拟 思路&#xff1a;定义一个变量t&#xff0c;存储相加后的结果&#xff0c;个位赋给新节点&#xff0c;十位&#xff08;表示有进位&#xff09;留下&#xff0c;累加到下一次加法&#xff08;相当于上进位&#xff09;。while里即便cur1和cur2都为空了&a…

windows文件拷贝给wsl2的Ubuntu

参考&#xff1a; windows文件如何直接拖拽到wsl中_win 移到文件到wsl-CSDN博客 cp -r /mnt/盘名/目标文件 要复制到wsl中的位置e.g.cp -r /mnt/d/byt5 /home Linux文件复制、移动、删除等操作命令_linux移动命令-CSDN博客 Linux 文件、文件夹的复制、移动、删除 - Be-myse…

重生之“我打数据结构,真的假的?”--1.顺序表(无习题)

C语言中的顺序表详细总结 1. 概述 顺序表&#xff08;Sequential List&#xff09;是一种线性数据结构&#xff0c;用于存储具有相同数据类型的一组元素。顺序表采用一段连续的存储空间&#xff0c;使用数组来实现&#xff0c;能够高效地支持随机访问操作。在 C 语言中&#…