PROFINET转SSI协议模块快速使用说明

Profinet网关PROFINET转SSI协议

PN4SSI模块快速使用说明

* 应用时PNSSI模块时,拨码全部拨到OFF。

(1)    在博途中新建一个项目,通过“选项”——“管理通用站描述文件”添加模块的GSD文件。

选择GSD文件所在的目录,点击安装:

(2)    在“设备和网络”中添加SSI模块,并构建Profinet链接

(3)    双击SSI模块的图标,进入设备视图,设置模块的IP地址、子网掩码、设备名称。

注:下图中

Control and Status : 未用。属性窗口用于设置四路SSI通讯的时钟周期

SSI_Channel_1:第一路SSI设备值。属性窗口用于设置第一路SSI通讯的参数

SSI_Channel_2:第二路SSI设备值。属性窗口用于设置第二路SSI通讯的参数

SSI_Channel_3:第三路SSI设备值。属性窗口用于设置第三路SSI通讯的参数

SSI_Channel_4:第四路SSI设备值。属性窗口用于设置第四路SSI通讯的参数


(4)   在线访问----修改实际PLC和模块的IP地址和名称(IP地址要和实际的PLC地址在同一网段,名称要和模块一致)

(5)   用户根据实际使用的SSI编码器,在属性中进行参数的修改。

  1. Clock Cycle : SSI信号CLOCK发送周期。
  2. Clock Rate: CLOCK信号发送频率。
  3. Absolute Sensor :连接传感器的位数。0:SSI设备输入被关闭。
  4. PLC_Type of Code : PLC输出数据的格式.格雷码、二进制码。
  5. Encoder_Type of Code : 连接编码器输出数据的格式.格雷码、二进制码。
  6. Positions: 标准化位置。 位数右移,去除不相关的地址区。
  7. Steps Revolution: 步进值、分辨率。

1)设置时钟发送周期:


2)每一路连接的编码器都有独立的参数设置,如下图。

(6)    将程序编译下载即可实现S7-1200读编码器的数据。用户可以通过博途的监控表,在线读去第2路和第3路编码器的数据。


(7)   编码器连接状态

  

查看四路编码器的连接状态,可以监控Control and Status_1。如图所示,图中IW1=1.表示第一路通讯故障。从左到右每一位依次表示第一路、第二路、第三路、第四路。其中1表示断线,0表示在线。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:/a/892873.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

天选销冠徐志胜与森马的跨界营销,你get了吗?

在当今这个信息爆炸的时代,品牌如何在众多竞争者中脱颖而出,成为消费者心中的首选,是一个值得深入探讨的问题。知名脱口秀演员徐志胜携手森马推出《绒毛的歌》广告片,以其独特的幽默风格,试图为冬季羽绒服市场注入一股…

CEEMDAN +组合预测模型(Transformer - BiLSTM + ARIMA)

往期精彩内容: 时序预测:LSTM、ARIMA、Holt-Winters、SARIMA模型的分析与比较 全是干货 | 数据集、学习资料、建模资源分享! EMD、EEMD、FEEMD、CEEMD、CEEMDAN的区别、原理和Python实现(一)EMD-CSDN博客 EMD、EEM…

解决linux服务器磁盘占满问题(详细,有效,100%解决)

应用场景: 在我们的日常开发中,我们的服务器总是在不知不觉中磁盘莫名奇妙少了很多空间,或者被占满了,如果这时候要想要存储什么文件,突然发现空间不够了。但我们通常也不知道那些文件占用的空间大,这时候…

Linux 内核态,用户态,以及如何从内核态到用户态,交互方式有哪些

一、Linux 内核态,用户态 Linux 内核态,用户态,以及如何从内核态到用户态,我来说下我的理解 很多面试官,面试也是照搬照套,网上找的八股文面试题,面试的人也是背八股文,刚好背到了&…

linux 虚拟环境下源码安装DeepSpeed

第一步:创建虚拟环境: conda create -n deepspeed python3.10 第二步:进入虚拟环境,安装Pytorch 2.3.1 # CUDA 12.1 conda install pytorch2.3.1 torchvision0.18.1 torchaudio2.3.1 pytorch-cuda12.1 -c pytorch -c nvidia 第…

OJ题:随机链表的复制—Java数据结构

目录 随机链表的复制 1. 完整题目 2.错误做法 3.第一次遍历 1.拷贝所有旧节点的val域 2. 串联老节点和新节点 3. 第一次遍历代码: 4.第二次遍历 1. 表示出新链表的节点 2. 表示出新节点的next,random 3. 通过映射关系赋值next,random 4. 第二次遍历代码…

DAY53WEB 攻防-XSS 跨站SVGPDFFlashMXSSUXSS配合上传文件添加脚本

知识点: 1、XSS跨站-MXSS&UXSS 2、XSS跨站-SVG制作&配合上传 3、XSS跨站-PDF制作&配合上传 4、XSS跨站-SWF制作&反编译&上传 XSS分类:https://www.fooying.com/the-art-of-xss-1-introduction/(失效了) …

案例实践 | 以长安链为坚实底层,江海链助力南通民政打造慈善应用标杆

案例名称-江海链 ■ 实施单位 中国移动通信集团江苏有限公司南通分公司、中国移动通信集团江苏有限公司 ■ 业主单位 江苏省南通市民政局 ■ 上线时间 2023年12月 ■ 用户群体 南通市民政局、南通慈善总会等慈善组织及全市民众 ■ 用户规模 全市近30家慈善组织&#…

【专题】计算机网络概述

1. 计算机网络的作用及其发展史 1.1. 计算机网络的作用 二十一世纪的一些重要特征就是数字化、网络化和信息化,它是一个以网络为核心的信息时代。 网络现在已经成为信息社会的命脉和发展知识经济的重要基础。 信息时代以网络为核心。 (1) 网络 “网络”是一个统称…

selenium:操作滚动条的方法(8)

selenium支持几种操作滚动条的方法,主要介绍如下: 使用ActionChains 类模拟鼠标滚轮操作 使用函数ActionChains.send_keys发送按键Keys.PAGE_DOWN往下滑动页面,发送按键Keys.PAGE_UP往上滑动页面。 from selenium import webdriver from se…

数学考研高分突破:解题思维与速度的双重修炼

随着考研季的临近,众多考生为了在数学这一科目中取得高分,纷纷投入到紧张的复习中,如何在有限的时间内,既提高解题思维,又提升解题速度,成为了许多考生心中的难题,本文将围绕这一主题&#xff0…

绘制YOLOv11模型在训练过程中,精准率,召回率,mAP_0.5,mAP_0.5:0.95,以及各种损失的变化曲线

一、本文介绍 本文用于绘制模型在训练过程中,精准率,召回率,mAP_0.5,mAP_0.5:0.95,以及各种损失的变化曲线。用以比较不同算法的收敛速度,最终精度等,并且能够在论文中直观的展示改进效果。支持多文件的数据比较。 专栏目录:YOLOv11改进目录一览 | 涉及卷积层、轻量化…

SpringMVC后台控制端校验-表单验证深度分析与实战优化

前言 在实战开发中,数据校验也是十分重要的环节之一,数据校验大体分为三部分: 前端校验后端校验数据库校验 本文讲解如何在后端控制端进行表单校验的工作 案例实现 在进行项目开发的时候,前端(jquery-validate),后端,数据库都要进行相关的数据…

【华为】静态路由配置

1.配置接入层: LSW1(LSW3同理): vlan batch 10 20 in g0/0/1 port link-type ac port default vlan 10 in g0/0/2 port link-type ac port default vlan 20 in g0/0/24 port link-type tr port tr allow-pass vlan 10 202.配置汇聚层&#xf…

v853扬声器调试

文章目录 1、前言2、环境介绍3、修改设备树4、使用tinymix测试扬声器 1、前言 本文记录v853下的扬声器调试。 2、环境介绍 硬件:韦东山v853 aicit板卡 软件:v853 tina sdk 3、修改设备树 扬声器使用的是v853内置的audio codec,原理图如…

进程的属性

一、进程状态 CPU执行进程代码不是把进程代码执行完毕,才开始执行下一个,而是给每一个进程预分配一个时间片,基于时间片,进行调度轮转。 并行和并发 并行: 多个进程在多个CPU下分别,同时进行运行,这称之…

设计小白必看!一文教你区分原型图和UI图

产品设计过程中,产品经理或UI设计师常常需要在不同的设计阶段产出不同的原型图和UI图。初入职场的产品小白或UI小白很容易将原型图和UI图混淆,不能完全区分它们各自的作用,从而影响了设计流程的效率和效果。本文将详细解析原型图与UI图的定义…

【DS】哈希表,哈希桶的实现

目录 哈希概念哈希冲突哈希函数负载因子哈希冲突的解决闭散列开散列 哈希表闭散列的实现哈希表的结构哈希函数构造函数查找插入删除 哈希表开散列的实现哈希表的结构查找插入删除 哈希表的表长建议是素数 平衡二叉树的学习中,学习及模拟实现了AVL树和红黑树&#xf…

uni-app写的微信小程序如何体积太大如何处理

方法一:对主包进行分包处理,将使用url: /pages/components/equipment/equipment跳转页面的全部拆分为分包,如url: /pagesS/components/equipment/equipment 在pages.json中添加 "subPackages": [{ "root"…

STM32项目实战:基于STM32F4的智能灯光控制系统(LVGL),附项目教程/源码

《智能灯光控制系统_STM32F4》项目完整文档、项目源码,点击下方链接免费领取。 项目资料领取https://s.c1ns.cn/jjQK7 STM32项目实战之“智能灯光控制系统”(基于STM32F4) 今天小编来分享一个《智能灯光控制系统》的项目案例,硬件…