【数字ic自整资料】AXI握手协议及outstanding

参考资料:

ic基础|时序篇:握手协议valid和ready的时序优化_valid和ready握手信号-CSDN博客

https://zhuanlan.zhihu.com/p/365573848

1、AXI握手协议

        当我们遇到时序违例时,通常采用的方式为插入寄存器(打拍)或者是后端插入buffer,这对使能信号或数据信号是有用的,但是对于那些需要满足握手协议的信号来说(例如:AXI协议中的多组握手信号xxready和xxvalid)单纯的打拍是行不通的,因为需要满足valid-ready协议,如果仅仅使用打拍很容易丢失数据。

        因此,需要采取特殊的方法进行打拍,这种针对AXI协议中握手信号的打拍通常称为axi register slice,通常来说,根据需要打拍的信号的不同有三种模式:

        前向寄存器Forward Registered :对valid和data路打拍。

        后向寄存器Backward Registered :对ready路打拍。

        双向寄存器Forward-Backward Registered :同时对valid/data路和ready路打拍。

(1)Forward Registered

        Forward指的是从数据发送方到数据接收方之间的数据传递方向,为了保持时序上的一致性,需要打拍的当然不止是valid信号,还得包括data信号。否则,valid信号和data信号对不齐的话,数据传输会出错。

        对于forward寄存器打拍,我们所要考虑的是何时可以往寄存器里打拍,即满足以下两个条件之一:

        1.forward寄存器里是空的;

        2.forward寄存器非空,但是接收端准备好接收数据了;

module forward_buffer(
   input  wire         clk         ,
   input  wire         rst_n       ,
   input  wire         valid_src   ,
   input  wire [31:0]  data_src,
   output wire         ready_src   ,
   output reg          valid_dst   ,
   output reg  [31:0]  data_dst    ,
   input  wire         ready_dst
);
   always @(posedge clk or negedge rst_n) begin
      if (!rst_n) begin
          valid_dst   <= 1'b0;
      end else if (ready_src) begin
          valid_dst   <= valid_src;
      end
   end   
  
   always @(posedge clk) begin 
      if (valid_src & ready_src) begin
          data_dst    <= data_src;
      end
   end

   assign ready_src = ready_dst || (~valid_dst);

endmodule

(2)Backward Registered

        Backward指的是接收端向输入端发送的ready信号这条路径,虽然只有ready这一个信号,但是我们也不能简单的对其进行打拍处理。因为,如果只对ready信号打拍,而不对valid和data信号进行处理,很容易导致两端握手信号将会无法正确同步,而导致数据丢失。

module backward_buffer(
    input  wire         clk         ,
    input  wire         rst_n       ,
    input  wire         valid_src   ,
    input  wire [31:0]  data_src    ,
    output reg          ready_src   ,
    output wire         valid_dst   ,
    output wire [31:0]  data_dst    ,
    input  wire         ready_dst
);


    reg [31:0] data_r;
    reg        valid_r;

    always @(posedge clk or negedge rst_n) begin
        if (!rst_n) begin
            valid_r <= 1'b0;
        end else if (ready_dst) begin
            valid_r <= 1'b0;
        end else if (valid_src & ready_src & ~ready_dst) begin
            valid_r <= 1'b1;
        end
    end

    always @(posedge clk) begin
        if (!rst_n) begin
            data_r <= 1'b0;
        end else if (valid_src & ready_src & ~ready_dst) begin
            data_r <= data_src;
        end
    end

    always @(posedge clk or negedge rst_n) begin
        if (!rst_n) begin
            ready_src <= 1'b1;
        end else if (ready_dst) begin
            ready_src <= 1'b1;
        end else if (valid_src) begin
            ready_src <= 1'b0;
        end
    end 

    assign valid_dst = ready_src ? valid_src : valid_r;
    assign data_dst = ready_src ? data_src : data_r;

endmodule

        单看代码有点复杂了……,来一个个信号看。相比于前向寄存器,后向寄存器单独创建了两个中间寄存器,valid_r用来对发送端的valid_src进行暂存。

        当接收端ready_dst信号为1时,置0,此时代表接受端有能力接受数据,因此无需进行暂存;而当发送端valid_src信号有效,ready_src有效,代表发送方完成了一次握手,若此时接受端ready_dst无效,则代表此时需要缓存数据(即将valid_r置1),以避免丢失。(ready_dst的信号还没传递到src端)

        data_r和valid_r同理。

        ready_src用于在接收端未准备好时,一旦发送端准备好数据,即可暂存data_src。当ready_dst=0且valid_src=1时暂存一拍。

        valid_dst和data_src这两个信号受到ready_src的控制,ready_src=1时直接传递发送端口的数据,ready_src=0时使用寄存器暂存的数据。

(3)Forward-Backward Registered

        即对valid/data和ready都进行时序优化的寄存器,我们可以使用上面提到的Forward Registered 和Backward Registered拼合在一起即可得到Forward-Backward Registered。

        其中,Backward Registered靠近dst端,Forward Registered靠近src端。

2、AXI的outstanding

        首先要理解概念,什么是outstanding?        

        当需要传输一段数据时,在正常情况下,我们需要等到地址握手成功才能传输。但是每一次握手过程中都不能保证主从机此刻都准备好,那这样在数据传输过程中就难免会有气泡,难以实现真正的全流水和满性能。所以从设计的角度,我们就有多发几个地址的需求,比如我们可以发出去10个地址,尽管我们还没给数据,但是可以让从机知道,我们接下来要向这些地址写数据,这样就可以不用刻板地遵循,每次都要写地址握手成功再写数据。

        outstanding就是发出去的地址数量,未处理的地址可以先存放在AXI总线的缓存里,等完成一次传输事物之后,无需再握手传输地址,即可立即进行下一次的数据传输,所以outstanding本质上是为了实现数据传输的pipeline。

        那么如何计算outstanding数量的最大值呢。

        有几个重要参数:

       期望的最大带宽,在满带宽情况下最大值自然是数据源源不断的传输,即Expected Bandwidth = AXI Clock Frequency x AXI Data Width

        往返时延,即AXI总线数据由于握手信号的等待而产生的传输延迟,用Round Trip Latency表示。

        因此,如果要满足数据传输没有气泡,即在总线上由延迟产生的空位全被outstanding的数据填满,等式:

        经过移相,可以化简为:

        

        最后丢一道前几天笔试遇到的题目:

        根据上方的公式推导,很容易就可以算出来BestOutstanding=12.5,由于outstanding只能为整数,又要满足满带宽,所以向上取整,最后答案为13。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:/a/871225.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

手机视频转换mp4格式:轻松实现格式转换的实用指南

随着智能手机的普及和移动互联网的飞速发展&#xff0c;手机视频已成为我们生活中不可或缺的一部分。然而&#xff0c;不同平台、不同应用产生的视频格式繁多&#xff0c;给视频分享、播放带来了诸多不便。我们经常会有疑问&#xff1a;怎么把手机视频转换mp4格式&#xff1f;为…

8.20 roles的基本用法+使用剧本安装nginx

安装nginx并更改其端口 创建目录 mkdir /etc/ansible/playbook 编辑配置文件 vim /etc/ansible/palybook/nginx.yml --- - hosts: s remote_user: root tasks: - name: 卸载httpd yu…

基于Conda的Python版本管理与Python包管理

文章目录 前言Conda是什么Conda与Anaconda安装Anaconda安装包windows v2024.06-1安装包更多版本安装包(Windows/Mac/Linux) 安装添加环境变量安装过程中遇到的问题 使用步骤创建Python环境激活Python环境安装Python包列出和切换 Python 版本管理多个环境 总结 前言 开发环境中…

Java 数据结构和集合框架

数据结构 数据结构是计算机科学中用于组织、管理数据的一种特殊方式&#xff0c;它能够有效地存储和检索数据。在Java中&#xff0c;数据结构通常通过集合框架&#xff08;Collection Framework&#xff09;来实现&#xff0c;它提供了一系列接口和类来帮助我们高效地处理数据…

《计算机组成原理》(第3版)第8章 CPU的结构和功能 复习笔记

第8章 CPU的结构和功能 一、CPU的结构 &#xff08;一&#xff09;CPU的含义 CPU实质包括运算器和控制器两大部分。 对于冯诺依曼结构的计算机而言&#xff0c;一旦程序进入存储器后&#xff0c;就可由计算机自动完成取指令和执行指令的任务&#xff0c;控制器就是专用于完成…

ARCGIS PRO 要素标注背景色透明度的设置

使用ArcGIS Pro 设置标注背景色的透明度 一、点击标注属性 二、点击符号、注释 三、下拉框选择背景 四、背景符号 五、点击颜色 六、编辑颜色 七、应用

黑神话:悟空游戏用的什么服务器?

黑神话&#xff1a;悟空游戏用的什么服务器&#xff1f;《黑神话&#xff1a;悟空》游戏使用的是基于云计算的强大服务器&#xff0c;具体型号和配置未公开。这些服务器在游戏发布初期就表现出极强的处理能力和稳定性&#xff0c;尽管同时在线人数一度突破百万&#xff0c;但整…

开放式耳机的优缺点?这里有开放式耳机推荐品牌

随着开放式耳机功能的增加和创新&#xff0c;导致很多人不知道开放式耳机哪款好&#xff0c;开放式耳机和封闭式耳机的优缺点有哪些&#xff1f;还有就是开放式耳机漏音严重吗&#xff1f;等问题。下面我来跟大家一起了解了解开放式耳机为什么好&#xff0c;有哪些值得入手的。…

基于 ComfyUI 原生的 FLUX.1 分区域融合出图技巧,效果超级棒!

前言 今天给小伙伴们分享一下 ComfyUI 的原生的分区域融合出图技巧&#xff0c;不需要额外下载插件哦&#xff01; 简单来介绍一下&#xff0c;就是把一张大图分割成几个部分&#xff0c;然后每个部分写自己区域的提示词&#xff0c;最终汇总融合成一张图片&#xff0c;可能不…

揭秘GPT-5,探索未来人工智能的无限可能

引言 在过去的几年里&#xff0c;人工智能领域的快速发展引发了全球范围内的广泛关注和讨论。作为这一浪潮的先锋&#xff0c;OpenAI 推出的 GPT 系列模型已经成为了生成式人工智能的代名词。随着 GPT-4 的发布&#xff0c;它在各种任务中表现出的强大能力进一步巩固了其在行业…

C# 不一样的洗牌算法---Simd指令

洗牌算法&#xff0c;以随机打乱数组中元素的位置 测试数据创建 int[] _data; Random rng new Random(); protected override void CreateData() {_data new int[_size];for (int i 0; i < _data.Length; i){_data[i] i;} } 普通打乱数组元素位置 protected overrid…

MySQL 索引合并优化实践

在生产环境的数据库中&#xff0c;经常会看到有些 SQL 的 where 条件包含&#xff1a;普通索引等值 主键范围查询 order by limit。明明走普通索引效率更高&#xff0c;但是选择走了索引合并&#xff0c;本文就对这种索引合并的情况研究一下。 作者&#xff1a;张洛丹&#x…

【Linux】Linux环境基础开发工具使用之Linux调试器-gdb使用

目录 一、程序发布模式1.1 debug模式1.2 release模式 二、默认发布模式三、gdb的使用结尾 一、程序发布模式 程序的发布方式有两种&#xff0c;debug模式和release模式 1.1 debug模式 目的&#xff1a;主要用于开发和测试阶段&#xff0c;目的是让开发者能够更容易地调试和跟…

JSON Web Token (JWT): 理解与应用

JWT&#xff08;JSON Web Token&#xff09;是一种开放标准&#xff08;RFC 7519&#xff09;&#xff0c;它定义了一种紧凑且自包含的方式&#xff0c;用于在各方之间以JSON对象的形式安全地传输信息。JWT通常用于身份验证和授权目的&#xff0c;因为它可以使用JSON对象在各方…

【Python】函数进阶(中)

2、函数和函数名 函数名其实就是一个变量&#xff0c;这个变量只不过代指的是函数而已。 例如&#xff1a; 注意&#xff1a;函数必须先定义才能被调用执行&#xff08;Python是解释型语言&#xff0c;代码从上到下边解释边执行&#xff09; #正确代码 #错误代码 &#xff0…

20 数据可视化

20 数据可视化 本章概述一. `elasticsearch`实现数据统计1.1 创建用户信息索引1.1.1 控制台创建`aggs_user`索引1.1.2 `aggs_user`索引结构初始化1.1.3 创建`aggs_user`索引的`EO`对象1.1.4 用户类型枚举1.1.5 数据初始化****************************************************…

Redis中缓存穿透、缓存击穿、缓存雪崩的详解

如何理解Redis缓存的穿透、击穿、雪崩问题&#xff1a; 缓存穿透 是指缓存中和数据库中都没有数据&#xff0c;而用户不断访问&#xff0c;导致这个不存在的数据每次请求都要到存储层去查询&#xff0c;这样失去了意义。 缓存穿透的解决方案有哪些? 缓存null值布隆过滤增强…

C++观察者模式Observer

组件协作 –(都是晚绑定的&#xff09; ----观察者模式 为某些对象建立一种通知依赖的关系&#xff0c; 只要这个对象状态发生改变&#xff0c;观察者对象都能得到通知。 但是依赖关系要松耦合&#xff0c;不要太依赖。 eg&#xff1a;做一个文件分割器&#xff0c;需要一个…

基于ESP32的OEE分析开发板上MQTT协议的实现

整理自 《Implementation of MQTT Protocol on ESP32-Based OEE Analysis Development Board》&#xff0c;作者是Amir Akbar Wicaksono, Yuli Kurnia Ningsih, 和 Indra Surjati&#xff0c;发表于《MITOR: Jurnal Teknik Elektro》。论文讨论了在工业4.0背景下&#xff0c;通…

Centos7 message日志因dockerd、kubelet、warpdrive、containerd等应用迅速增长

问题&#xff1a;公司服务器在部署一套业务后&#xff0c;message日志记录大量的dockerd、kubelet、warpdrive、containerd应用日志&#xff0c;每天增加2G大小的日志 解决方案&#xff1a; 前期吐槽下&#xff1a;发现某个帖子&#xff0c;需要会员或者花钱才能看&#xff0c…