Vivado 设置关联使用第三方仿真软件 Modelsim

目录

  • 1.前言
  • 2.Vivado 设置关联使用第三方仿真软件 Modelsim

微信公众号获取更多FPGA相关源码:
在这里插入图片描述

1.前言

Vivado 软件自带有仿真功能,该功能使用还是比较方便的,初学者可以直接使用自带的仿真功能。

Modelsim仿真工具是Model公司开发的。它支持Verilog、VHDL以及他们的混合仿真,它可以将整个程序分步执行,使设计者直接看到他的程序下一步要执行的语句,而且在程序执行的任何步骤任何时刻都可以查看任意变量的当前值,可以在Dataflow窗口查看某一单元或模块的输入输出的连续变化等,比vivado自带的仿真器功能强大的多,是目前业界最通用的仿真器之一。

对于初学者,modelsim自带的教程是一个很好的选择,在Help->SE PDF Documentation->Tutorial里面.它从简单到复杂、从低级到高级详细地讲述了modelsim的各项功能的使用,简单易懂。但是它也有缺点,就是它里面所有事例的初期准备工作都已经放在example文件夹里,直接将它们添加到modelsim就可以用,它假设使用者对当前操作的前期准备工作都已经很熟悉,所以初学者往往不知道如何做当前操作的前期准备。

Modelsim的关联需要参考Vivado的版本,具体信息可以去官网查看,Vivado 2018.3适配的是Modelsim 10.6d可以公众号后台回复Modelsim获得下载链接。

Vivado 2018.3也可以在后台回复Vivado获得下载链接。

2.Vivado 设置关联使用第三方仿真软件 Modelsim

对于想使用 Modelsim 进行关联仿真的,下面将提供 Vivado 设置关联使用第三方仿真软件 Modelsim 的方法步骤,具体如下。

1、打开 vivado 2018.3 软件,在 Tools 下点击 Settings…

启动仿真器关联的设置界面

2、进入 Settings 界面,在界面左侧窗口找到 3nd Party Simulations,然后找到设置第三
方仿真工具安装路径和编译库文件目录的地方。

仿真器选择窗口

3、在 Install Paths 下的 Modelsim 通过点击右侧“…”,选择已经安装好 modelsim 的路径,在 Default Compiled Library Paths 下的 Modelsim 通过点击右侧“…”,选择设置一个目录文件夹,这里我在 modelsim 安装目录下新建了 vivado_lib 的文件夹,并指定到这里。读者可根据自己的情况进行设置。这里设置的路径是 Vivado 仿真编译库文件存放的地址,目前还没有对库文件进行编译生成仿真所需的文件,所以可自己指定(注意不要指向带空格的路径),后面编译库文件生成仿真所需文件时,将目录再设置到这个目录就行。然后点击 OK。

选择仿真器及其存放路径

4、 编译 Vivado 的库供第三方仿真工具 Modelsim 仿真使用。 在 Tools 下点击 Compile
Simulation Libraries…

启动编译仿真库文件

5、进入 Complile Simulation Libraries 界面,需要进行如下一些设置。

(1) 我们使用的第三方仿真工具是 modelsim,在 Simulator 处选择 Modelsim Simulation;

(2) Language 默认选择 All,这里可以根据实际使用情况选择,也可以选择 Verilog 或
VHDL;

(3) Library 默认选择 All;

(4) 器件就根据实际使用情况进行选择,这里选择的器件系列越多,Compile 生成库的时间越长,若需要选择全部的器件,建议可以选择在空闲时间进行编译。

(5) 编译库存放路径,这里路径默认是我们在前面 3nd Party Simulations 上设置的路径,
前面设置过了,这里默认就可以。

(6) 仿真软件 Modelsim 路径,这里路径默认是我们在前面 3nd Party Simulations 上设置
的路径,前面设置过了,这里默认就可以。

设置仿真库文件的相关信息

其他地方保持默认,点击 Compile,出现如下界面,开始编译 vivado 库生成 modelsim仿真库了,这个过程大概需要 40 分钟,如果选择全部器件,时间会更长。

开始编译仿真库

6、仿真库编译完成后,想要使用 Vivado 自动关联调用 Modelsim 进行仿真,还需在 Tool-
->Setting–>Simulation 下对仿真进行如下设置。这里的设置需要在打开一个工程后,打开工
程后才会在 Settings 下有 Simulation 选项。需进行如下设置。

(1) 选择仿真我们使用的第三方仿真软件 modelsim

(2) 语言根据实际情况进行选择,这里可供选择的有 Verilog、 VHDL、 Mixed,保持默认
即可。

(3) 保持默认就 OK

(4) 仿真的顶层文件,选择你要仿真的 testbench 文件,一般在你去点击 vivado 软件的
Run Simulation 时它会默认选择要仿真的顶层 testbench 文件,自己在仿真时可核对下是否
有误。

(5) 选择我们存放编译 Vivado 仿真库的路径,这里会根据之前生成编译库默认为编译库
的路径,我们只要核对下是否有误,有问题就改一下,没有问题就保持默认就 OK。

调用仿真库的存放位置

7、整个设置就完成了,在需要进行仿真时,点击 Run Simulation -->Run behavioral
Simulation 或其他类型仿真就会自动弹出 Modelsim 界面。

启动仿真窗口

8.打开后就可以正常使用

Modelsim 10.6dwave界面

至此, Vivado 设置关联使用第三方仿真软件 Modelsim 就完成了,可以比较方便的通过
Vivado 调用 Modlsim 进行仿真。对于初学者,建议可以直接使用 Vivado 自带的仿真功能。
后面的例程基本也是采用 Vivado 自带的仿真功能进行仿真验证。

微信公众号获取更多FPGA相关源码:
在这里插入图片描述

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:/a/685921.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

服务器遭遇UDP攻击时的应对与解决方案

UDP攻击作为分布式拒绝服务(DDoS)攻击的一种常见形式,通过发送大量的UDP数据包淹没目标服务器,导致网络拥塞、服务中断。本文旨在提供一套实用的策略与技术手段,帮助您识别、缓解乃至防御UDP攻击,确保服务器稳定运行。我们将探讨监…

【重学C语言】十八、SDL2 图形编程介绍和环境配置

【重学C语言】十八、SDL2 图形编程介绍和环境配置 **SDL2介绍**SDL 2用途SDL 在哪些平台上运行?下载和安装 SDL2安装 SDL2 clion 配置 SDL2 SDL2介绍 SDL2(Simple DirectMedia Layer 2)是一个开源的跨平台多媒体开发库,主要用于游…

项目:基于httplib/消息队列负载均衡式在线OJ

文章目录 写在前面关于组件开源仓库和项目上线其他文档说明项目亮点 使用技术和环境项目宏观结构模块实现compiler模块runner模块compile_run模块compile_server模块 基于MVC结构的OJ服务什么是MVC?用户请求服务路由功能Model模块view模块Control模块 写在前面 关于…

Windows11下Docker使用记录(五)

目录 准备1. WSL安装cuda container toolkit2. win11 Docker Desktop 设置3. WSL创建docker container并连接cuda4. container安装miniconda(可选) Docker容器可以从底层虚拟化,使我们能够在 不降级 CUDA驱动程序的情况下使用 任何版本的CU…

激活函数对比

激活函数 sigmoid / tanh / relu / leaky relu / elu / gelu / swish 1、sigmoid 优缺点 1) 均值!0,导致fwxb求导时,方向要么全正要么全负 可以通过batch批量训练来缓解 2) 输入值大于一定范围梯度就会消失 3) 运算复杂 2、tanh 优缺点 1) 均值0 2)…

Ubuntu部署开源网关Apache APISIX

说明 系统:Ubuntu 24.04 LTSDocker版本:v26.1.3Docker Compose版本:v2.26.1 下载和配置 Ubuntu需要安装Docker和Docker Compose 下载apisix-docker仓库 git clone https://github.com/apache/apisix-docker.git修改docker-compose 配置e…

接口自动化框架封装思想建立(全)

httprunner框架(上) 一、什么是Httprunner? 1.httprunner是一个面向http协议的通用测试框架,以前比较流行的是2.X版本。 2.他的思想是只需要维护yaml/json文件就可以实现接口自动化测试,性能测试,线上监…

【2024】零基础Python 快速入门篇

2023年是AI的元年,AI的爆火不仅推动了科技领域的进步,更让 Python 语言成为了这一变革中的关键角色。 Python 语言简单易懂,语法清晰明了,懂一点英语的都能学得会。很适合在职场摸爬滚打多年的前端组长作为捅破天花板的语言&…

【漏洞复现】用友NC downCourseWare 任意文件读取漏洞

0x01 产品简介 用友NC是一款企业级ERP软件。作为一种信息化管理工具,用友NC提供了一系列业务管理模块,包括财务会计、采购管理、销售管理、物料管理、生产计划和人力资源管理等,帮助企业实现数字化转型和高效管理。 0x02 漏洞概述 用友NC …

贪心算法学习一

例题一 解法(贪⼼): 贪⼼策略: 分情况讨论: a. 遇到 5 元钱,直接收下; b. 遇到 10 元钱,找零 5 元钱之后,收下; c. 遇到 20 元钱&#xff1a…

JWT及单点登录实现

JWT发展简史 JWT Token JSON Web Token (JWT,RFC 7519 (opens new window)),是为了在网络应用环境间传递声明而执行的一种基于 JSON 的开放标准((RFC 7519)。 ID Token OIDC (OpenID Connect) 协议 (opens new window)对 OAuth 2.0 协议 …

【SpringBoot + Vue 尚庭公寓实战】项目初始化准备(二)

尚庭公寓SpringBoot Vue 项目实战】项目初始化准备(二) 文章目录 尚庭公寓SpringBoot Vue 项目实战】项目初始化准备(二)1、导入数据库2、创建工程3、项目初始配置3.1、SpringBoot依赖配置3.2、创建application.yml文件3.3、创建…

【Mac】Alfred 5 for Mac(苹果效率提升工具)v5.5软件介绍及安装教程

软件介绍 Alfred 是适用于 Mac 操作系统的流行生产力应用程序。它旨在帮助用户在 Mac 电脑上更高效地启动应用程序、搜索文件和文件夹以及执行各种任务。借助 Alfred,用户可以创建自定义键盘快捷方式、设置自定义工作流程并使用热键访问功能。 Alfred for Mac 的一…

离散数学期末复习题库(含答案)

目录 1.判断题 1-1 1-2 1-3 1-4 2.选择题 2-1 2-2 2-3 3.多选题 3-1 4.填空题 4-1 4-2 4-3 4-4 4-5 5.主观题 5-1 5-2 5-3 5-4 1.判断题 1-1 ϕ⊆{ϕ} (对) 1-2 {a,b}∈{a,b,c,{a,b}} (对) 1-3 {a,b…

【西瓜书】2.模型评估与选择

1.经验误差与过拟合 (1)错误率、精度 (2)误差:训练误差/经验误差、泛化误差 (3)过拟合、欠拟合 欠拟合好克服,过拟合无法彻底避免 2.三大任务——评估方法 泛化误差的评估方法&a…

14本剔除!Scopus目录第四次更新,Hindawi期刊再次上榜

【SciencePub学术】近期,Scopus数据库迎来本年度第四次更新!此次更新后,有89本期刊发生变动: 变动详情 •【新增】75本新增期刊进入Scopus数据库 •【剔除】14本期刊被Scopus数据库剔除 目前Scopus 来源出版物列表(…

day4-函数图像

基础知识 幂函数 研究最值,可以用单调性一样的函数 指数函数 牛啊 lnx 三角函数 比如计算定积分 例1 的第二步不会 求导 严格来说,还要验证,导数 是不是 大于0 再 小于0,判断是最大值还是最小值 例2 easy 买的资料到手了&#x…

JVM之【类的生命周期】

首先,请区分Bean的声明周期和类的声明周期。此处讲的是类的声明周期 可以同步观看另一篇文章JVM之【类加载机制】 概述 在Java中数据类型分为基本数据类型和引用数据类型 基本数据类型由虚拟机预先定义,引用数据类型则需要进行类的加载 按照]ava虚拟机…

伯克希尔·哈撒韦:“股神”的“登神长阶”

股价跳水大家见过不少,但一秒跌掉62万美元的你见过吗? 今天我们来聊聊“股市”巴菲特的公司——伯克希尔哈撒韦 最近,由于纽交所技术故障,伯克希尔哈撒韦A类股股价上演一秒归“零”,从超过62万美元跌成185.1美元&…

python API自动化(接口测试基础与原理)

1.接口测试概念及应用 什么是接口 接口是前后端沟通的桥梁,是数据传输的通道,包括外部接口、内部接口,内部接口又包括:上层服务与下层服务接口,同级接口 外部接口:比如你要从 别的网站 或 服务器 上获取 资源或信息 &a…