部署运行petalinux系统镜像

参考文档《编译 petalinux 系统镜像》编译获取 petalinux 系统镜像,编译生成的各种镜像文件如下:

scilogyhunter@ubuntu1804:~/petalinux/workspace/project0/petalinux$ ls images/linux/
bl31.bin  Image      pxelinux.cfg    rootfs.cpio.gz.u-boot  rootfs.tar.gz  u-boot.elf       zynqmp-qemu-arm.dtb
bl31.elf  image.ub   rootfs.cpio     rootfs.jffs2           system.dtb     vmlinux          zynqmp-qemu-multiarch-arm.dtb
boot.scr  pmufw.elf  rootfs.cpio.gz  rootfs.manifest        u-boot.bin     zynqmp_fsbl.elf  zynqmp-qemu-multiarch-pmu.dtb
scilogyhunter@ubuntu1804:~/petalinux/workspace/project0/petalinux$

image.png

生成 BOOT 文件

对于 zynq 硬件平台,一般是通过生成和固化 BOOT.bin 文件来启动。BOOT.bin 文件由 fsbl,fpga 和目标程序通过 工具生成。

scilogyhunter@ubuntu1804:~/petalinux/workspace/project0/petalinux/images/linux$ petalinux-package --boot --u-boot --fpga --fsbl --force
WARNING: tmpfile= /home/scilogyhunter/petalinux/workspace/project0/petalinux/project-spec/hw-description/
ERROR: Default bitsream is not found, please specify a bitstream file path with --fpga <BITSTREAM>
scilogyhunter@ubuntu1804:~/petalinux/workspace/project0/petalinux/images/linux$
scilogyhunter@ubuntu1804:~/petalinux/workspace/project0/petalinux/images/linux$ petalinux-package --boot --u-boot --fsbl --force
INFO: sourcing build tools
INFO: File in BOOT BIN: "/home/scilogyhunter/petalinux/workspace/project0/petalinux/images/linux/zynqmp_fsbl.elf"
INFO: File in BOOT BIN: "/home/scilogyhunter/petalinux/workspace/project0/petalinux/images/linux/pmufw.elf"
INFO: File in BOOT BIN: "/home/scilogyhunter/petalinux/workspace/project0/petalinux/images/linux/bl31.elf"
INFO: File in BOOT BIN: "/home/scilogyhunter/petalinux/workspace/project0/petalinux/images/linux/system.dtb"
INFO: File in BOOT BIN: "/home/scilogyhunter/petalinux/workspace/project0/petalinux/images/linux/u-boot.elf"
INFO: Generating zynqmp binary package BOOT.BIN...


****** Xilinx Bootgen v2020.1
  **** Build date : May 26 2020-14:07:15
    ** Copyright 1986-2020 Xilinx, Inc. All Rights Reserved.


[INFO]   : Bootimage generated successfully

INFO: Binary is ready.
WARNING: Unable to access the TFTPBOOT folder /tftpboot!!!
WARNING: Skip file copy to TFTPBOOT folder!!!
scilogyhunter@ubuntu1804:~/petalinux/workspace/project0/petalinux/images/linux$
scilogyhunter@ubuntu1804:~/petalinux/workspace/project0/petalinux/images/linux$ ls
bl31.bin  boot.scr  pmufw.elf     rootfs.cpio.gz         rootfs.manifest  u-boot.bin  zynqmp_fsbl.elf                zynqmp-qemu-multiarch-pmu.dtb
bl31.elf  Image     pxelinux.cfg  rootfs.cpio.gz.u-boot  rootfs.tar.gz    u-boot.elf  zynqmp-qemu-arm.dtb
BOOT.BIN  image.ub  rootfs.cpio   rootfs.jffs2           system.dtb       vmlinux     zynqmp-qemu-multiarch-arm.dtb
scilogyhunter@ubuntu1804:~/petalinux/workspace/project0/petalinux/images/linux$

说明:

  • petalinux-package –boot”命令用于打包生成 BOOT.bin 文件。
  • –u-boot”参数会把 u-boot 依赖 项全部合成到 BOOT.bin 中,
  • –fpga”指合成 bit 文件到 BOOT.bin 中(注意:如果你的 xsa 文 件中不包含 bitstream,就不要加这个参数),“
  • –fsbl”指合成 fsbl 文件到 BOOT.bin 中,
  • –force” 为强制合成,覆盖以前合成的 BOOT.BIN。

运行 Linux

这里开发编译都是以 ALINX 的 AXU4EVB-E 开发板为硬件平台。

  1. SD 卡插入电脑,格式化为 FAT32 格式。
  2. 将之前得到的 BOOT.bin、boot.scr 及 image.ub 三个文件拷贝到上一步格式化的 SD 卡中,然 后弹出 SD 卡。
  3. 将 SD 卡插入板卡,把板卡设置为 SD 卡启动模式。
    image.png
    image.png
  4. 连接串口线到开发板的“PS_UART”口上,打开串口调试工具,配置为 115200 波特率,1 位停止位,无校验无流控。将板卡上电,即可看到系统启动信息。登录用户名密码都是 “root”。
Xilinx Zynq MP First Stage Boot Loader 
Release 2020.1   May 21 2024  -  01:15:11
NOTICE:  ATF running on XCZU4EG/EV/silicon v4/RTL5.1 at 0xfffea000
NOTICE:  BL31: v2.2(release):v1.1-5588-g5918e656e
NOTICE:  BL31: Built : 01:13:27, May 21 2024


U-Boot 2020.01 (May 21 2024 - 01:14:36 +0000)

Board: Xilinx ZynqMP
DRAM:  4 GiB
PMUFW:  v1.1
EL Level:       EL2
Chip ID:        zu4
NAND:  0 MiB
MMC:   mmc@ff160000: 0, mmc@ff170000: 1
In:    serial@ff000000
Out:   serial@ff000000
Err:   serial@ff000000
Bootmode: SD_MODE1
Reset reason:   EXTERNAL 
Net:   
ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr -1, interface rgmii-id

Warning: ethernet@ff0e0000 using MAC address from DT
eth0: ethernet@ff0e0000
Hit any key to stop autoboot:  0 
switch to partitions #0, OK
mmc1 is current device
Scanning mmc 1:1...
Found U-Boot script /boot.scr
2007 bytes read in 16 ms (122.1 KiB/s)
## Executing script at 20000000
16428160 bytes read in 1065 ms (14.7 MiB/s)
## Loading kernel from FIT Image at 10000000 ...
   Using 'conf@system-top.dtb' configuration
   Trying 'kernel@1' kernel subimage
     Description:  Linux kernel
     Type:         Kernel Image
     Compression:  gzip compressed
     Data Start:   0x100000e8
     Data Size:    7850388 Bytes = 7.5 MiB
     Architecture: AArch64
     OS:           Linux
     Load Address: 0x00080000
     Entry Point:  0x00080000
     Hash algo:    sha256
     Hash value:   a9f8ad2e0e73af96be547b3ed0c6f8cf8781fdf3b77e229e73452f7074b99f5e
   Verifying Hash Integrity ... sha256+ OK
## Loading ramdisk from FIT Image at 10000000 ...
   Using 'conf@system-top.dtb' configuration
   Trying 'ramdisk@1' ramdisk subimage
     Description:  petalinux-image-minimal
     Type:         RAMDisk Image
     Compression:  uncompressed
     Data Start:   0x10785df4
     Data Size:    8538386 Bytes = 8.1 MiB
     Architecture: AArch64
     OS:           Linux
     Load Address: unavailable
     Entry Point:  unavailable
     Hash algo:    sha256
     Hash value:   00063833bb0e5f46e946b2e76a5102c6fcc56312a2ba09ccb70e218205144c60
   Verifying Hash Integrity ... sha256+ OK
## Loading fdt from FIT Image at 10000000 ...
   Using 'conf@system-top.dtb' configuration
   Trying 'fdt@system-top.dtb' fdt subimage
     Description:  Flattened Device Tree blob
     Type:         Flat Device Tree
     Compression:  uncompressed
     Data Start:   0x1077cb8c
     Data Size:    37271 Bytes = 36.4 KiB
     Architecture: AArch64
     Hash algo:    sha256
     Hash value:   5d0671884d67ddea0f1f839e11f318f5a30d034af1b3bc46d498f3bcf56d7cfc
   Verifying Hash Integrity ... sha256+ OK
   Booting using the fdt blob at 0x1077cb8c
   Uncompressing Kernel Image
   Loading Ramdisk to 787db000, end 78fff912 ... OK
   Loading Device Tree to 000000000fff3000, end 000000000ffff196 ... OK

Starting kernel ...

[    0.000000] Booting Linux on physical CPU 0x0000000000 [0x410fd034]
[    0.000000] Linux version 5.4.0 (oe-user@oe-host) (gcc version 9.2.0 (GCC)) #1 SMP Tue May 21 01:13:32 UTC 2024
[    0.000000] Machine model: xlnx,zynqmp
[    0.000000] earlycon: cdns0 at MMIO 0x00000000ff000000 (options '115200n8')
[    0.000000] printk: bootconsole [cdns0] enabled
[    0.000000] efi: Getting EFI parameters from FDT:
[    0.000000] efi: UEFI not found.
[    0.000000] cma: Reserved 256 MiB at 0x0000000068400000
[    0.000000] psci: probing for conduit method from DT.
[    0.000000] psci: PSCIv1.1 detected in firmware.
[    0.000000] psci: Using standard PSCI v0.2 function IDs
[    0.000000] psci: MIGRATE_INFO_TYPE not supported.
[    0.000000] psci: SMC Calling Convention v1.1
[    0.000000] percpu: Embedded 21 pages/cpu s48664 r8192 d29160 u86016
[    0.000000] Detected VIPT I-cache on CPU0
[    0.000000] CPU features: detected: ARM erratum 845719
[    0.000000] Speculative Store Bypass Disable mitigation not required
[    0.000000] Built 1 zonelists, mobility grouping on.  Total pages: 1033987
[    0.000000] Kernel command line:  earlycon console=ttyPS0,115200 clk_ignore_unused root=/dev/ram0 rw
[    0.000000] Dentry cache hash table entries: 524288 (order: 10, 4194304 bytes, linear)
[    0.000000] Inode-cache hash table entries: 262144 (order: 9, 2097152 bytes, linear)
[    0.000000] mem auto-init: stack:off, heap alloc:off, heap free:off
[    0.000000] software IO TLB: mapped [mem 0x7bf00000-0x7ff00000] (64MB)
[    0.000000] Memory: 3768216K/4193280K available (11196K kernel code, 618K rwdata, 3528K rodata, 704K init, 309K bss, 162920K reserved, 262144K cma-reserved)
[    0.000000] rcu: Hierarchical RCU implementation.
[    0.000000] rcu:     RCU event tracing is enabled.
[    0.000000] rcu:     RCU restricting CPUs from NR_CPUS=8 to nr_cpu_ids=4.
[    0.000000] rcu: RCU calculated value of scheduler-enlistment delay is 25 jiffies.
[    0.000000] rcu: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=4
[    0.000000] NR_IRQS: 64, nr_irqs: 64, preallocated irqs: 0
[    0.000000] GIC: Adjusting CPU interface base to 0x00000000f902f000
[    0.000000] GIC: Using split EOI/Deactivate mode
[    0.000000] random: get_random_bytes called from start_kernel+0x2a8/0x43c with crng_init=0
[    0.000000] arch_timer: cp15 timer(s) running at 33.33MHz (phys).
[    0.000000] clocksource: arch_sys_counter: mask: 0xffffffffffffff max_cycles: 0x7b0074340, max_idle_ns: 440795202884 ns
[    0.000003] sched_clock: 56 bits at 33MHz, resolution 30ns, wraps every 2199023255543ns
[    0.008256] Console: colour dummy device 80x25
[    0.012389] Calibrating delay loop (skipped), value calculated using timer frequency.. 66.66 BogoMIPS (lpj=133332)
[    0.022668] pid_max: default: 32768 minimum: 301
[    0.027363] Mount-cache hash table entries: 8192 (order: 4, 65536 bytes, linear)
[    0.034615] Mountpoint-cache hash table entries: 8192 (order: 4, 65536 bytes, linear)
[    0.043142] ASID allocator initialised with 32768 entries
[    0.047801] rcu: Hierarchical SRCU implementation.
[    0.052684] EFI services will not be available.
[    0.057122] smp: Bringing up secondary CPUs ...
[    0.061806] Detected VIPT I-cache on CPU1
[    0.061834] CPU1: Booted secondary processor 0x0000000001 [0x410fd034]
[    0.062155] Detected VIPT I-cache on CPU2
[    0.062174] CPU2: Booted secondary processor 0x0000000002 [0x410fd034]
[    0.062473] Detected VIPT I-cache on CPU3
[    0.062492] CPU3: Booted secondary processor 0x0000000003 [0x410fd034]
[    0.062537] smp: Brought up 1 node, 4 CPUs
[    0.096971] SMP: Total of 4 processors activated.
[    0.101643] CPU features: detected: 32-bit EL0 Support
[    0.106747] CPU features: detected: CRC32 instructions
[    0.111879] CPU: All CPU(s) started at EL2
[    0.115926] alternatives: patching kernel code
[    0.121322] devtmpfs: initialized
[    0.127342] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 7645041785100000 ns
[    0.133313] futex hash table entries: 1024 (order: 4, 65536 bytes, linear)
[    0.146156] xor: measuring software checksum speed
[    0.184210]    8regs     :  2375.000 MB/sec
[    0.224235]    32regs    :  2725.000 MB/sec
[    0.264263]    arm64_neon:  2365.000 MB/sec
[    0.264299] xor: using function: 32regs (2725.000 MB/sec)
[    0.268176] pinctrl core: initialized pinctrl subsystem
[    0.273927] NET: Registered protocol family 16
[    0.278688] DMA: preallocated 256 KiB pool for atomic allocations
[    0.283846] audit: initializing netlink subsys (disabled)
[    0.289297] audit: type=2000 audit(0.228:1): state=initialized audit_enabled=0 res=1
[    0.296909] cpuidle: using governor menu
[    0.300900] hw-breakpoint: found 6 breakpoint and 4 watchpoint registers.
[    0.318112] HugeTLB registered 1.00 GiB page size, pre-allocated 0 pages
[    0.319163] HugeTLB registered 32.0 MiB page size, pre-allocated 0 pages
[    0.325831] HugeTLB registered 2.00 MiB page size, pre-allocated 0 pages
[    0.332487] HugeTLB registered 64.0 KiB page size, pre-allocated 0 pages
[    1.412565] DRBG: Continuing without Jitter RNG
[    1.490228] raid6: neonx8   gen()  1548 MB/s
[    1.558259] raid6: neonx8   xor()  1466 MB/s
[    1.626328] raid6: neonx4   gen()  1490 MB/s
[    1.694339] raid6: neonx4   xor()  1430 MB/s
[    1.762405] raid6: neonx2   gen()  1135 MB/s
[    1.830429] raid6: neonx2   xor()  1189 MB/s
[    1.898541] raid6: neonx1   gen()   741 MB/s
[    1.966536] raid6: neonx1   xor()   895 MB/s
[    2.034557] raid6: int64x8  gen()  1166 MB/s
[    2.102628] raid6: int64x8  xor()   763 MB/s
[    2.170690] raid6: int64x4  gen()   984 MB/s
[    2.238707] raid6: int64x4  xor()   740 MB/s
[    2.306816] raid6: int64x2  gen()   684 MB/s
[    2.374824] raid6: int64x2  xor()   600 MB/s
[    2.442917] raid6: int64x1  gen()   453 MB/s
[    2.510901] raid6: int64x1  xor()   460 MB/s
[    2.510937] raid6: using algorithm neonx8 gen() 1548 MB/s
[    2.514894] raid6: .... xor() 1466 MB/s, rmw enabled
[    2.519825] raid6: using neon recovery algorithm
[    2.524677] iommu: Default domain type: Translated 
[    2.529439] SCSI subsystem initialized
[    2.533096] usbcore: registered new interface driver usbfs
[    2.538450] usbcore: registered new interface driver hub
[    2.543726] usbcore: registered new device driver usb
[    2.548757] mc: Linux media interface: v0.10
[    2.552970] videodev: Linux video capture interface: v2.00
[    2.558421] pps_core: LinuxPPS API ver. 1 registered
[    2.563335] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
[    2.572425] PTP clock support registered
[    2.576318] EDAC MC: Ver: 3.0.0
[    2.579770] zynqmp-ipi-mbox mailbox@ff990400: Registered ZynqMP IPI mbox with TX/RX channels.
[    2.588066] FPGA manager framework
[    2.591384] Advanced Linux Sound Architecture Driver Initialized.
[    2.597573] Bluetooth: Core ver 2.22
[    2.600891] NET: Registered protocol family 31
[    2.605290] Bluetooth: HCI device and connection manager initialized
[    2.611605] Bluetooth: HCI socket layer initialized
[    2.616448] Bluetooth: L2CAP socket layer initialized
[    2.621470] Bluetooth: SCO socket layer initialized
[    2.626579] clocksource: Switched to clocksource arch_sys_counter
[    2.632434] VFS: Disk quotas dquot_6.6.0
[    2.636298] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes)
[    2.646888] NET: Registered protocol family 2
[    2.647704] tcp_listen_portaddr_hash hash table entries: 2048 (order: 3, 32768 bytes, linear)
[    2.655935] TCP established hash table entries: 32768 (order: 6, 262144 bytes, linear)
[    2.663964] TCP bind hash table entries: 32768 (order: 7, 524288 bytes, linear)
[    2.671404] TCP: Hash tables configured (established 32768 bind 32768)
[    2.677575] UDP hash table entries: 2048 (order: 4, 65536 bytes, linear)
[    2.684249] UDP-Lite hash table entries: 2048 (order: 4, 65536 bytes, linear)
[    2.691409] NET: Registered protocol family 1
[    2.695830] RPC: Registered named UNIX socket transport module.
[    2.701484] RPC: Registered udp transport module.
[    2.706150] RPC: Registered tcp transport module.
[    2.710823] RPC: Registered tcp NFSv4.1 backchannel transport module.
[    2.717449] PCI: CLS 0 bytes, default 64
[    2.721208] Trying to unpack rootfs image as initramfs...
[    3.102154] Freeing initrd memory: 8336K
[    3.102554] hw perfevents: no interrupt-affinity property for /pmu, guessing.
[    3.107696] hw perfevents: enabled with armv8_pmuv3 PMU driver, 7 counters available
[    3.115984] Initialise system trusted keyrings
[    3.119748] workingset: timestamp_bits=62 max_order=20 bucket_order=0
[    3.126730] NFS: Registering the id_resolver key type
[    3.131077] Key type id_resolver registered
[    3.135225] Key type id_legacy registered
[    3.139204] nfs4filelayout_init: NFSv4 File Layout Driver Registering...
[    3.145871] jffs2: version 2.2. (NAND) © 2001-2006 Red Hat, Inc.
[    3.166640] NET: Registered protocol family 38
[    3.166680] Key type asymmetric registered
[    3.169504] Asymmetric key parser 'x509' registered
[    3.174373] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 247)
[    3.181707] io scheduler mq-deadline registered
[    3.186203] io scheduler kyber registered
[    3.214449] Serial: 8250/16550 driver, 4 ports, IRQ sharing disabled
[    3.217975] cacheinfo: Unable to detect cache hierarchy for CPU 0
[    3.224935] brd: module loaded
[    3.228905] loop: module loaded
[    3.229510] mtdoops: mtd device (mtddev=name/number) must be supplied
[    3.234773] libphy: Fixed MDIO Bus: probed
[    3.238735] tun: Universal TUN/TAP device driver, 1.6
[    3.242918] CAN device driver interface
[    3.247376] usbcore: registered new interface driver asix
[    3.252056] usbcore: registered new interface driver ax88179_178a
[    3.258087] usbcore: registered new interface driver cdc_ether
[    3.263883] usbcore: registered new interface driver net1080
[    3.269505] usbcore: registered new interface driver cdc_subset
[    3.275387] usbcore: registered new interface driver zaurus
[    3.280933] usbcore: registered new interface driver cdc_ncm
[    3.287156] usbcore: registered new interface driver uas
[    3.291834] usbcore: registered new interface driver usb-storage
[    3.298275] rtc_zynqmp ffa60000.rtc: registered as rtc0
[    3.303004] i2c /dev entries driver
[    3.307705] usbcore: registered new interface driver uvcvideo
[    3.312131] USB Video Class driver (1.1.1)
[    3.316505] Bluetooth: HCI UART driver ver 2.3
[    3.320613] Bluetooth: HCI UART protocol H4 registered
[    3.325713] Bluetooth: HCI UART protocol BCSP registered
[    3.331003] Bluetooth: HCI UART protocol LL registered
[    3.336093] Bluetooth: HCI UART protocol ATH3K registered
[    3.341467] Bluetooth: HCI UART protocol Three-wire (H5) registered
[    3.347715] Bluetooth: HCI UART protocol Intel registered
[    3.353060] Bluetooth: HCI UART protocol QCA registered
[    3.358259] usbcore: registered new interface driver bcm203x
[    3.363881] usbcore: registered new interface driver bpa10x
[    3.369422] usbcore: registered new interface driver bfusb
[    3.374867] usbcore: registered new interface driver btusb
[    3.380330] usbcore: registered new interface driver ath3k
[    3.385851] EDAC MC: ECC not enabled
[    3.389420] EDAC DEVICE0: Giving out device to module zynqmp-ocm-edac controller zynqmp_ocm: DEV ff960000.memory-controller (INTERRUPT)
[    3.401732] sdhci: Secure Digital Host Controller Interface driver
[    3.407544] sdhci: Copyright(c) Pierre Ossman
[    3.411866] sdhci-pltfm: SDHCI platform and OF driver helper
[    3.417793] ledtrig-cpu: registered to indicate activity on CPUs
[    3.423498] zynqmp_firmware_probe Platform Management API v1.1
[    3.429257] zynqmp_firmware_probe Trustzone version v1.0
[    3.458504] alg: No test for xilinx-zynqmp-aes (zynqmp-aes)
[    3.460107] zynqmp_aes zynqmp_aes: AES Successfully Registered
[    3.460107] 
[    3.465949] alg: No test for xilinx-keccak-384 (zynqmp-keccak-384)
[    3.473368] alg: No test for xilinx-zynqmp-rsa (zynqmp-rsa)
[    3.478916] usbcore: registered new interface driver usbhid
[    3.483000] usbhid: USB HID core driver
[    3.489028] fpga_manager fpga0: Xilinx ZynqMP FPGA Manager registered
[    3.493474] usbcore: registered new interface driver snd-usb-audio
[    3.500076] pktgen: Packet Generator for packet performance testing. Version: 2.75
[    3.507230] Initializing XFRM netlink socket
[    3.511186] NET: Registered protocol family 10
[    3.515888] Segment Routing with IPv6
[    3.519240] sit: IPv6, IPv4 and MPLS over IPv4 tunneling driver
[    3.525340] NET: Registered protocol family 17
[    3.529457] NET: Registered protocol family 15
[    3.533872] bridge: filtering via arp/ip/ip6tables is no longer available by default. Update your scripts to load br_netfilter if you need this.
[    3.546784] can: controller area network core (rev 20170425 abi 9)
[    3.552917] NET: Registered protocol family 29
[    3.557302] can: raw protocol (rev 20170425)
[    3.561539] can: broadcast manager protocol (rev 20170425 t)
[    3.567163] can: netlink gateway (rev 20190810) max_hops=1
[    3.572678] Bluetooth: RFCOMM TTY layer initialized
[    3.577462] Bluetooth: RFCOMM socket layer initialized
[    3.582584] Bluetooth: RFCOMM ver 1.11
[    3.586277] Bluetooth: BNEP (Ethernet Emulation) ver 1.3
[    3.591555] Bluetooth: BNEP filters: protocol multicast
[    3.596748] Bluetooth: BNEP socket layer initialized
[    3.601675] Bluetooth: HIDP (Human Interface Emulation) ver 1.2
[    3.607559] Bluetooth: HIDP socket layer initialized
[    3.612589] 9pnet: Installing 9P2000 support
[    3.616747] Key type dns_resolver registered
[    3.621191] registered taskstats version 1
[    3.625034] Loading compiled-in X.509 certificates
[    3.630150] Btrfs loaded, crc32c=crc32c-generic
[    3.642049] ff000000.serial: ttyPS0 at MMIO 0xff000000 (irq = 42, base_baud = 6249999) is a xuartps
[    3.651069] printk: console [ttyPS0] enabled
[    3.651069] printk: console [ttyPS0] enabled
[    3.655365] printk: bootconsole [cdns0] disabled
[    3.655365] printk: bootconsole [cdns0] disabled
[    3.664568] of-fpga-region fpga-full: FPGA Region probed
[    3.675020] xilinx-zynqmp-dma fd500000.dma: ZynqMP DMA driver Probe success
[    3.682119] xilinx-zynqmp-dma fd510000.dma: ZynqMP DMA driver Probe success
[    3.689215] xilinx-zynqmp-dma fd520000.dma: ZynqMP DMA driver Probe success
[    3.696316] xilinx-zynqmp-dma fd530000.dma: ZynqMP DMA driver Probe success
[    3.703417] xilinx-zynqmp-dma fd540000.dma: ZynqMP DMA driver Probe success
[    3.710520] xilinx-zynqmp-dma fd550000.dma: ZynqMP DMA driver Probe success
[    3.717616] xilinx-zynqmp-dma fd560000.dma: ZynqMP DMA driver Probe success
[    3.724713] xilinx-zynqmp-dma fd570000.dma: ZynqMP DMA driver Probe success
[    3.731879] xilinx-zynqmp-dma ffa80000.dma: ZynqMP DMA driver Probe success
[    3.738982] xilinx-zynqmp-dma ffa90000.dma: ZynqMP DMA driver Probe success
[    3.746077] xilinx-zynqmp-dma ffaa0000.dma: ZynqMP DMA driver Probe success
[    3.753174] xilinx-zynqmp-dma ffab0000.dma: ZynqMP DMA driver Probe success
[    3.760274] xilinx-zynqmp-dma ffac0000.dma: ZynqMP DMA driver Probe success
[    3.767370] xilinx-zynqmp-dma ffad0000.dma: ZynqMP DMA driver Probe success
[    3.774472] xilinx-zynqmp-dma ffae0000.dma: ZynqMP DMA driver Probe success
[    3.781574] xilinx-zynqmp-dma ffaf0000.dma: ZynqMP DMA driver Probe success
[    3.788794] zynqmp-qspi ff0f0000.spi: rx bus width not found
[    3.794452] zynqmp-qspi ff0f0000.spi: tx bus width not found
[    3.800296] spi_master spi0: cannot find modalias for /amba/spi@ff0f0000/flash@0
[    3.807692] spi_master spi0: Failed to create SPI device for /amba/spi@ff0f0000/flash@0
[    3.816864] macb ff0e0000.ethernet: Not enabling partial store and forward
[    3.824157] libphy: MACB_mii_bus: probed
[    3.833662] Generic PHY ff0e0000.ethernet-ffffffff:00: attached PHY driver [Generic PHY] (mii_bus:phy_addr=ff0e0000.ethernet-ffffffff:00, irq=POLL)
[    3.846885] macb ff0e0000.ethernet eth0: Cadence GEM rev 0x50070106 at 0xff0e0000 irq 31 (00:0a:35:00:22:01)
[    3.857035] zynqmp_pll_disable() clock disable failed for dpll_int, ret = -13
[    3.864280] xilinx-axipmon ffa00000.perf-monitor: Probed Xilinx APM
[    3.870822] xilinx-axipmon fd0b0000.perf-monitor: Probed Xilinx APM
[    3.877306] xilinx-axipmon fd490000.perf-monitor: Probed Xilinx APM
[    3.883789] xilinx-axipmon ffa10000.perf-monitor: Probed Xilinx APM
[    3.890462] cdns-i2c ff030000.i2c: 400 kHz mmio ff030000 irq 33
[    3.928571] mmc0: SDHCI controller on ff160000.mmc [ff160000.mmc] using ADMA 64-bit
[    3.967854] mmc1: SDHCI controller on ff170000.mmc [ff170000.mmc] using ADMA 64-bit
[    3.977712] rtc_zynqmp ffa60000.rtc: setting system clock to 2020-08-08T07:52:02 UTC (1596873122)
[    3.986585] of_cfs_init
[    3.989032] of_cfs_init: OK
[    3.991936] cfg80211: Loading compiled-in X.509 certificates for regulatory database
[    4.027009] mmc0: new HS200 MMC card at address 0001
[    4.032348] mmcblk0: mmc0:0001 Q2J55L 7.09 GiB 
[    4.037044] mmcblk0boot0: mmc0:0001 Q2J55L partition 1 16.0 MiB
[    4.043113] mmcblk0boot1: mmc0:0001 Q2J55L partition 2 16.0 MiB
[    4.049115] mmcblk0rpmb: mmc0:0001 Q2J55L partition 3 4.00 MiB, chardev (245:0)
[    4.057495]  mmcblk0: p1 p2
[    4.064207] mmc1: Problem switching card into high-speed mode!
[    4.070142] mmc1: new SDHC card at address 0001
[    4.074956] mmcblk1: mmc1:0001 SD16G 29.1 GiB 
[    4.080997]  mmcblk1: p1 p2
[    4.133162] cfg80211: Loaded X.509 cert 'sforshee: 00b28ddf47aef9cea7'
[    4.139690] clk: Not disabling unused clocks
[    4.143955] ALSA device list:
[    4.146910]   No soundcards found.
[    4.150607] platform regulatory.0: Direct firmware load for regulatory.db failed with error -2
[    4.159214] cfg80211: failed to load regulatory.db
[    4.164220] Freeing unused kernel memory: 704K
[    4.182609] Run /init as init process
INIT: version 2.88 booting
Starting udev
[    4.281697] udevd[161]: starting version 3.2.8
[    4.286460] random: udevd: uninitialized urandom read (16 bytes read)
[    4.292950] random: udevd: uninitialized urandom read (16 bytes read)
[    4.299441] random: udevd: uninitialized urandom read (16 bytes read)
[    4.310226] udevd[162]: starting eudev-3.2.8
[    4.476908] random: fast init done
[    4.937789] EXT4-fs (mmcblk1p2): mounted filesystem with ordered data mode. Opts: (null)
[    4.939799] FAT-fs (mmcblk1p1): Volume was not properly unmounted. Some data may be corrupt. Please run fsck.
Tue May 21 01:16:14 UTC 2024
Configuring packages on first boot....
 (This may take several minutes. Please do not power off the machine.)
Running postinst /etc/rpm-postinsts/100-sysvinit-inittab...
update-rc.d: /etc/init.d/run-postinsts exists during rc.d purge (continuing)
INIT: Entering runlevel: 5
Configuring network interfaces... [    5.298919] pps pps0: new PPS source ptp0
[    5.302937] macb ff0e0000.ethernet: gem-ptp-timer ptp clock registered.
udhcpc: started, v1.31.0
udhcpc: sending discover
udhcpc: sending discover
udhcpc: sending discover
udhcpc: no lease, forking to background
done.
Starting haveged: haveged: listening socket at 3
haveged: haveged starting up


Starting Dropbear SSH server: [   14.513960] urandom_read: 5 callbacks suppressed
[   14.513965] random: dropbearkey: uninitialized urandom read (32 bytes read)
[   14.528250] random: dropbearkey: uninitialized urandom read (32 bytes read)
Generating 2048 bit rsa key, this may take a while...
haveged: haveged: ver: 1.9.5; arch: generic; vend: ; build: (gcc 9.2.0 CTV); collect: 128K

haveged: haveged: cpu: (VC); data: 16K (D); inst: 16K (D); idx: 11/40; sz: 15456/64452

haveged: haveged: tot tests(BA8): A:1/1 B:1/1 continuous tests(B):  last entropy estimate 7.99421

haveged: haveged: fills: 0, generated: 0 

[   15.276489] random: crng init done
Public key portion is:
ssh-rsa AAAAB3NzaC1yc2EAAAADAQABAAABAQDRPcdVZ5PgP9yrcS8+AjtUHboloyS3EY84CdiKoe3VJi8q7VlcBXqZ81RH8Tbobjxqanmz5AcTN9cLjodEOp8EKNzen/a9CJbGAf55Eke4MTzTyn4HITVnp7isbN/kQhm752y5WzUO45tfhW9aH+V2KQSGhhaeznu1dAlTaIVtfyNdoBhbDFmfZCE1nsjBElZiMal+fo9bIuZ6j41A3KtrPZsGCSO+2PbsJPld0T9df3E7DQNEnNKzxNTb6AA0C4FLXGz5LAmM8oI1sl6opCX0eweNpTyaa2gRg27qbV9TKheSQRvSTbLvzOqmKUq/83QYzSfJKq6UuWHN9wbUSb07 root@petalinux
Fingerprint: sha1!! 11:7e:66:1e:b7:de:7f:57:01:f2:0e:64:7b:53:5c:24:4e:e5:91:6a
dropbear.
Starting internet superserver: inetd.
Starting syslogd/klogd: done
Starting tcf-agent: OK

PetaLinux 2020.1 petalinux /dev/ttyPS0

petalinux login: 
PetaLinux 2020.1 petalinux /dev/ttyPS0

petalinux login: root
Password: 
root@petalinux:~# 
root@petalinux:~# 

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mfbz.cn/a/652193.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

1967python多媒体素材管理系统mysql数据库Django结构layUI布局计算机软件工程网页

一、源码特点 python Django多媒体素材管理系统是一套完善的web设计系统mysql数据库 &#xff0c;对理解python编程开发语言有帮助&#xff0c;系统具有完整的源代码和数据库&#xff0c;系统主要采用B/S模式开发。 开发环境pycharm mysql 5.0 到5.5 依赖包 Dj…

postman调用Grpc

环境&#xff1a; .net6.0 一、准备 安装nuget&#xff1a; Grpc.AspNetCore Google.Protobuf Grpc.Core.Api Grpc.Tools Grpc.AspNetCore.Server.Reflection Program.cs&#xff1a; public class Program{public static void Main(string[] args){var builder WebApplicat…

【Matlab函数分析】绘图函数:colormap查看并设置当前颜色图

&#x1f517; 运行环境&#xff1a;Matlab &#x1f6a9; 撰写作者&#xff1a;左手の明天 &#x1f947; 精选专栏&#xff1a;《python》 &#x1f525; 推荐专栏&#xff1a;《算法研究》 #### 防伪水印——左手の明天 #### &#x1f497; 大家好&#x1f917;&#x1f91…

【PB案例学习笔记】-10 进度条使用

写在前面 这是PB案例学习笔记系列文章的第10篇&#xff0c;该系列文章适合具有一定PB基础的读者。 通过一个个由浅入深的编程实战案例学习&#xff0c;提高编程技巧&#xff0c;以保证小伙伴们能应付公司的各种开发需求。 文章中设计到的源码&#xff0c;小凡都上传到了gite…

2.5D的架构图相比3D有五大不可替代优势

2.5D架构图是一种介于2D和3D之间的图形表现形式&#xff0c;具有以下几个优势&#xff1a; 省时省力&#xff1a;相比于完全的3D架构图&#xff0c;2.5D架构图的制作相对简单&#xff0c;可以节省制作时间和人力成本。它只需要在平面上进行设计和绘制&#xff0c;不需要考虑3D…

域提权漏洞系列分析-Zerologon漏洞分析2

漏洞点⼆&#xff1a;错误设置CFB8模式 建⽴安全通道时&#xff0c;需要使⽤ComputeNetlogonCredential函数对客户端的Netlogon凭据输⼊client challenge和服 务器的Netlogon凭据输⼊server challenge (SC&#xff09;进⾏加密&#xff0c;ComputeNetlogonCredential函数⽀持使…

飞控如何和接收机接线?

飞控如何和接收机接线&#xff1f; 一般遥控都是按照顺序1对1接到飞控的INPUT端口。特别注意&#xff0c;华科尔的接收机&#xff0c;需要把1和2通道条换过来。 具体方法如下&#xff1a; 下面以MC6遥控接收机为例子&#xff1a; 用下面的图的接收机连接线来演示&#xff1a…

【C++】开源:RabbitMQ安装与配置使用(SimpleAmqpClient)

&#x1f60f;★,:.☆(&#xffe3;▽&#xffe3;)/$:.★ &#x1f60f; 这篇文章主要介绍。 无专精则不能成&#xff0c;无涉猎则不能通。——梁启超 欢迎来到我的博客&#xff0c;一起学习&#xff0c;共同进步。 喜欢的朋友可以关注一下&#xff0c;下次更新不迷路&#x1…

隆道专属商城 | 助力企业跨平台整合优势资源,解决采购寻源比价难题!

数字化采购时代&#xff0c;企业面临着日益激烈的市场竞争&#xff0c;如何优化资源配置、降低采购成本、提高采购效率成为企业追求的核心目标。当前&#xff0c;网上商城凭借其强大的供应链资源整合能力&#xff0c;为企业内部采购商城的搭建提供了独特的优势&#xff0c;已然…

常见SSL证书品牌关系图

常见SSL证书品牌关系图 在SSL证书市场上&#xff0c;有几个主要的品牌和他们之间的复杂关系。以下是一些主要的SSL证书提供商及其关系的简要概述&#xff1a; DigiCert&#xff1a; DigiCert 是最大的SSL证书颁发机构之一。它收购了Symantec的SSL和PKI业务&#xff0c;其中包括…

Java从坚持到精通-SpringBoot项目-多来米云客(持续更新中)

1.项目介绍 该项目模仿动力云客制作&#xff0c;是一款商业的集营销销售为一体的客户关系管理系统&#xff0c;其采用信息化、数字化方式来进行营销销售及客户管理。 云客指的是海量客户&#xff0c;通过技术方式实现的这一套系统&#xff0c;可用于自动化分析销售、市场营销…

一个通俗易懂的例子,带你彻底明白 同步异步,阻塞非阻塞

阻塞I/O&#xff08;Blocking I/O&#xff09; 例子&#xff1a;你亲自去仓库取书。 过程&#xff1a; 你开车去仓库。在路上花时间开车到仓库。到了仓库后&#xff0c;排队等待拿到书。拿到书后&#xff0c;开车回家。 在整个过程中&#xff0c;你自己&#xff08;相当于程…

521源码-免费音乐源码-最新流媒体在线音乐系统网站源码| 英文版源码| 音乐社区 | 多语言 | 开心版

免费音乐源码 一键自动安装&#xff1a;安装用翻译看提示操作即可 本源码下载地址&#xff1a;最新流媒体在线音乐系统网站源码| 英文版源码| 音乐社区 | 多语言 | 开心版 - 521源码 更多网站源码学习教程&#xff0c;请点击&#x1f449;-521源码-&#x1f448;获取最新资源…

数据结构(四)双向链表

文章目录 一、概念二、无头双向链表示意图三、操作&#xff08;一&#xff09;定义结构体&#xff08;二&#xff09;创建链表1. 函数定义2. 注意点3. 代码实现 &#xff08;三&#xff09;插入1. 函数定义2. 注意点3. 代码实现 &#xff08;四&#xff09;删除1. 函数定义2. 注…

了不起的学习生产板OrangePiAiPro

一. OrangePi AiPro介绍和初始化配置 介绍 香橙派 orangePiAIpro这个板子其实早在一年前就已经有了大面积推广且应用于各种真实的智能场景中了&#xff0c;比如图像识别&#xff0c;大文本语义解析&#xff0c;语音识别等&#xff0c;今日我也终于下手啦。 因为本人本科是一个嵌…

【Ambari】Docker 安装Ambari 大数据单机版本

目录 一、前期准备 1.1 部署 docker 1.2 部署 docker-compose 1.3 版本说明 二 、镜像构建启动 2.1 系统镜像构建 2.2 安装包源镜像构建 2.3 kdc镜像构建 2.4 集群安装 2.5 容器导出为镜像 三、Ubuntu环境安装测试 3.1 环境准备 3.2 集群容器启动 一、前期准备 1.…

Android Activity 设计详解

文章目录 Android Activity 设计说明1. Activity 的生命周期2. Activity 的启动模式3. Activity 的通信4. Activity 的布局和视图管理5. Activity 的配置变化处理6. Activity 的保存和恢复状态7. Activity 的任务和返回栈 总结 Android Activity 设计说明 在 Android 中&#…

了解Java垃圾收集

Java 的垃圾收集机制在 Java 应用程序开发中至关重要。此机制对于通过消除不再使用的对象来释放内存空间得过程来说至关重要。在这篇文章中&#xff0c;我带大家深入了解下 Java 垃圾收集的机制&#xff0c;并探索其工作原理、优点以及实现最佳性能的最佳实践。 1.什么是 Java…

数据挖掘之归一化

归一化(Normalization)的概念 在数据分析和机器学习中,归一化(Normalization)是一个常见的数据预处理技术。归一化的目的是将数据特征缩放到一个共同的尺度上,以便于后续的分析和建模。 归一化也称为最小-最大规范化 公式: x ′ x − m i n ( x ) m a x ( x ) − m i n ( x…

httpsok-v1.12.0支持LB证书自动部署

&#x1f525;httpsok-v1.12.0支持LB证书自动部署 介绍 httpsok 是一个便捷的 HTTPS 证书自动续签工具&#xff0c;基于全新的设计理念&#xff0c;专为 Nginx 、OpenResty 服务器设计。已服务众多中小企业&#xff0c;稳定、安全、可靠。 一行命令&#xff0c;一分钟轻松搞…