【STM32单片机】----实现LED灯闪烁实战

34889d2e7fec4a02bb0ae3a22b011a2a.png

🎩 欢迎来到技术探索的奇幻世界👨‍💻

📜 个人主页:@一伦明悦-CSDN博客

✍🏻 作者简介: C++软件开发、Python机器学习爱好者

🗣️ 互动与支持💬评论      👍🏻点赞      📂收藏     👀关注+

如果文章有所帮助,欢迎留下您宝贵的评论,

点赞加收藏支持我,点击关注,一起进步!

前言

       STM32是一系列由STMicroelectronics开发的32位ARM Cortex-M微控制器系列,广泛应用于嵌入式系统中。它们提供了丰富的外设和性能,适用于各种应用领域,包括工业控制、汽车、消费电子等。STM32系列有多个产品系列,每个系列针对不同的应用需求提供了多种型号和配置选项。要对STM32有一个全面的总结,可以涵盖其主要特点、产品系列、应用领域、开发工具和生态系统等方面的信息。

        代码实现资源链接

【免费】STM32实现LED灯闪烁资源-CSDN文库icon-default.png?t=N7T8https://download.csdn.net/download/m0_59951855/89359786?spm=1001.2014.3001.5503

正文

01-LED灯1实现

       当想要在STM32单片机上实现LED灯的闪烁时,可以遵循以下步骤:

  1. 初始化GPIO引脚:首先,需要初始化用于连接LED的GPIO引脚。这包括设置引脚的模式(输入/输出)、速度、上拉/下拉等。

  2. 配置定时器(Timer):使用一个定时器来生成定期的中断,以便控制LED的闪烁频率。可以选择适当的定时器和预分频器来生成适当的时钟频率。

  3. 编写中断服务程序(ISR):在定时器中断服务程序中,可以切换LED的状态,从而使其闪烁。可以在每次中断时切换LED的状态,或者根据需要计算适当的间隔。

  4. 编写主程序:在主程序中初始化所有必要的硬件和变量,并启动定时器。然后,可以让主程序进入一个无限循环,在循环中等待定时器中断。

  5. 编译和下载程序:最后,将程序编译为可执行文件,并通过调试器将其下载到STM32单片机中进行测试。

        LED灯1实现

        LED1.h文件:

        这段.h文件是LED模块的头文件,用于声明LED相关的函数和变量。让我解释一下:

  1. #ifndef __LED_H 和 #define __LED_H:这是头文件保护措施,确保在同一编译单元中只包含一次该头文件内容,防止重复定义。

  2. void LED1_Init(void);:这是LED1初始化函数的声明,告诉编译器该函数的存在和接口。

  3. #endif:结束头文件的定义。

        这个头文件的作用是在其他源文件中包含它后,可以调用LED1_Init函数进行LED的初始化。

#ifndef __LED_H
#define __LED_H


void LED1_Init(void);

#endif

        LED1.cpp文件:这段代码是用于在STM32F10x系列单片机上初始化一个LED(Light Emitting Diode)的函数。详细解释如下:

  1. #include "stm32f10x.h":这行代码包含了STM32F10x系列的设备头文件,其中包含了该系列单片机的寄存器定义和常量声明等信息。

  2. void LED1_Init(void):这是一个无返回值的函数,用于初始化LED。

  3. RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA, ENABLE);:这行代码用于使能GPIOA的时钟。在STM32中,访问GPIO需要先使能相应的时钟。

  4. GPIO_InitTypeDef GPIO_InitStructure;:定义了一个结构体变量GPIO_InitStructure,用于配置GPIO初始化参数。

  5. GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP;:将GPIOA的引脚1配置为推挽输出模式。在这种模式下,GPIO引脚可以提供高电平和低电平输出。

  6. GPIO_InitStructure.GPIO_Pin = GPIO_Pin_1;:选择了GPIOA的引脚1作为LED连接的引脚。

  7. GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;:配置了GPIO的输出速度为50MHz。

  8. GPIO_Init(GPIOA, &GPIO_InitStructure);:根据上述配置初始化GPIOA的引脚1。

  9. 在注释中提到了两种驱动方式:

    • GPIO_ResetBits(GPIOA, GPIO_Pin_1);:将GPIOA的引脚1输出低电平,LED熄灭。
    • GPIO_SetBits(GPIOA, GPIO_Pin_1);:将GPIOA的引脚1输出高电平,LED点亮。

        这段代码的作用是初始化一个LED连接的GPIO引脚,配置为推挽输出模式,并设置输出速度为50MHz。

#include "stm32f10x.h"                  // Device header


void LED1_Init(void)
{
	// 1、开启时钟
	RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA,ENABLE);
	// 2、调用Init函数
	// 2-1、需要先进行结构体的定义
	
	GPIO_InitTypeDef GPIO_InitStructure;
	// 数据信号的推挽输出,这个时候STM32对高低电平拥有绝对的控制权,此时LED灯长脚插在PA0口,短脚插在负极,高电平驱动也可以闪烁
	GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP; // 变成了OD就成了开漏模式,就无法高电平驱动了
	GPIO_InitStructure.GPIO_Pin = GPIO_Pin_1 ;  // 选择Pin_0是因为用的是GPIOA的0号引脚
	GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;  // 输出速度
	
	GPIO_Init(GPIOA,&GPIO_InitStructure);
	
	// 3、设置高电平,LED灯熄灭
	//GPIO_ResetBits(GPIOA,GPIO_Pin_1);
	//GPIO_SetBits(GPIOA,GPIO_Pin_2);
}


02-LED灯2实现

       LED灯2的实现如下:

        LED2.h文件

        这段.h文件是LED2模块的头文件,用于声明LED2相关的函数和变量。解释如下:

  1. #ifndef __LED2_H 和 #define __LED2_H:这是头文件保护措施,确保在同一编译单元中只包含一次该头文件内容,防止重复定义。

  2. void LED2_Init(void);:这是LED2初始化函数的声明,告诉编译器该函数的存在和接口。

  3. #endif:结束头文件的定义。

        这个头文件的作用是在其他源文件中包含它后,可以调用LED2_Init函数进行LED2的初始化。

#ifndef __LED2_H
#define __LED2_H

void LED2_Init(void);

#endif

        LED2.cpp文件:这段代码是用于在STM32F10x系列单片机上初始化另一个LED的函数。解释如下:

  1. #include "stm32f10x.h":同样是包含了STM32F10x系列的设备头文件,以便使用相关的寄存器定义和常量声明。

  2. void LED2_Init(void):这是另一个无返回值的函数,用于初始化第二个LED。

  3. RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOB, ENABLE);:这行代码用于使能GPIOB的时钟,因为第二个LED连接在GPIOB上。

  4. GPIO_InitTypeDef GPIO_InitStructure;:定义了一个新的结构体变量GPIO_InitStructure,用于配置GPIOB的初始化参数。

  5. GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP;:同样将GPIOB的引脚1配置为推挽输出模式。

  6. GPIO_InitStructure.GPIO_Pin = GPIO_Pin_1;:选择了GPIOB的引脚1作为第二个LED连接的引脚。

  7. GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;:配置了GPIO的输出速度为50MHz。

  8. GPIO_Init(GPIOB, &GPIO_InitStructure);:根据上述配置初始化GPIOB的引脚1。

        这段代码的作用与之前的LED1初始化函数类似,是初始化另一个LED连接的GPIO引脚,配置为推挽输出模式,并设置输出速度为50MHz。

#include "stm32f10x.h"                  // Device header


void LED2_Init(void)
{
	// 1、开启时钟
	RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOB,ENABLE);
	// 2、调用Init函数
	// 2-1、需要先进行结构体的定义
	
	GPIO_InitTypeDef GPIO_InitStructure;
	// 数据信号的推挽输出,这个时候STM32对高低电平拥有绝对的控制权,此时LED灯长脚插在PA0口,短脚插在负极,高电平驱动也可以闪烁
	GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP; // 变成了OD就成了开漏模式,就无法高电平驱动了
	GPIO_InitStructure.GPIO_Pin = GPIO_Pin_1 ;  // 选择Pin_0是因为用的是GPIOA的0号引脚
	GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;  // 输出速度
	
	GPIO_Init(GPIOB,&GPIO_InitStructure);
}


03-延时函数实现

       Delay的实现如下:

        Delay.h文件

        这个.h文件定义了三个延时函数的声明,分别是Delay_usDelay_msDelay_s,用于提供微秒级、毫秒级和秒级的延时功能。这些声明可以让其他源文件包含这个头文件后直接调用这些函数,而不需要知道函数的具体实现细节。同时,文件开头使用了#ifndef#define宏,以及#endif来实现头文件保护,确保在同一编译单元中只包含一次该头文件内容,防止重复定义。

#ifndef __DELAY_H
#define __DELAY_H

void Delay_us(uint32_t us);  // 微秒延时
void Delay_ms(uint32_t ms);  // 毫秒延时
void Delay_s(uint32_t s);    // 秒延时

#endif

        Delay.cpp文件:这段代码提供了三个延时函数:Delay_usDelay_msDelay_s,分别用于提供微秒级、毫秒级和秒级的延时。下面分别解释这三个函数:

  1. void Delay_us(uint32_t xus):这个函数用于提供微秒级的延时。它使用了STM32的系统滴答(SysTick)定时器来实现延时。xus参数是要延时的微秒数,通过乘以72(STM32的系统时钟频率,HCLK,假设为72MHz)来计算出SysTick定时器的重装载值。然后设置SysTick定时器的时钟源为HCLK,启动定时器,等待定时器计数到0,最后关闭定时器。

  2. void Delay_ms(uint32_t xms):这个函数用于提供毫秒级的延时。它通过调用Delay_us函数来实现,每次调用Delay_us函数延时1000微秒,即1毫秒,通过xms参数指定的次数来控制总的延时毫秒数。

  3. void Delay_s(uint32_t xs):这个函数用于提供秒级的延时。它通过调用Delay_ms函数来实现,每次调用Delay_ms函数延时1000毫秒,即1秒,通过xs参数指定的次数来控制总的延时秒数。

        这三个延时函数都可以在STM32的开发中用于控制程序的执行流程,实现特定的延时效果。

#include "stm32f10x.h"

/**
  * @brief  微秒级延时
  * @param  xus 延时时长,范围:0~233015
  * @retval 无
  */
void Delay_us(uint32_t xus)
{
	SysTick->LOAD = 72 * xus;				//设置定时器重装值
	SysTick->VAL = 0x00;					//清空当前计数值
	SysTick->CTRL = 0x00000005;				//设置时钟源为HCLK,启动定时器
	while(!(SysTick->CTRL & 0x00010000));	//等待计数到0
	SysTick->CTRL = 0x00000004;				//关闭定时器
}

/**
  * @brief  毫秒级延时
  * @param  xms 延时时长,范围:0~4294967295
  * @retval 无
  */
void Delay_ms(uint32_t xms)
{
	while(xms--)
	{
		Delay_us(1000);
	}
}
 
/**
  * @brief  秒级延时
  * @param  xs 延时时长,范围:0~4294967295
  * @retval 无
  */
void Delay_s(uint32_t xs)
{
	while(xs--)
	{
		Delay_ms(1000);
	}
} 

04-主函数实现

       主函数实现代码如下:

        这段主函数代码主要完成了以下几个任务:

  1. 包含了必要的头文件,如STM32F10x系列的设备头文件、延时函数头文件、LED1和LED2的头文件。

  2. 在main函数中调用了LED1_Init和LED2_Init函数,分别初始化了两个LED的引脚。

  3. 进入一个无限循环(while(1)),在循环中实现了LED1和LED2的闪烁效果:

    a. 首先点亮LED1,延时1000ms,然后熄灭LED1,延时1000ms,实现LED1每秒闪烁一次的效果。

    b. 接着点亮LED2,延时500ms,然后熄灭LED2,延时500ms,实现LED2每0.5秒闪烁一次的效果。

        这样,主函数完成了对两个LED灯的初始化和控制,使它们交替闪烁。

#include "stm32f10x.h"                  // Device header
#include "Delay.h"
#include "LED.h"
#include "LED2.h"

int main(void){

	LED1_Init();
	LED2_Init();
	// 4、设置低电平,LED灯亮起
//	GPIO_ResetBits(GPIOA,GPIO_Pin_1);
	// 5、也可以GPIO_WriteBit()函数设置高低电平
	// 前两个参数和Set和Reset一样,第三个参数用于清除端口值,和设置端口值
	// 如果参数=Bit_RESET,清除端口值,设置为低电平,灯亮;反之参数=Bit_SET,为高电平,灯灭
//	GPIO_WriteBit(GPIOA,GPIO_Pin_0,Bit_SET);
	
	// 6、若是需要实现LED灯闪烁的命令,就需要在While死循环中进行一些设置
	while(1){
		// 点亮 两个函数都可以
//		GPIO_ResetBits(GPIOA,GPIO_Pin_0);
//		Delay_ms(500);  // 延时函数直接调用即可
//	    GPIO_WriteBit(GPIOA,GPIO_Pin_0,Bit_RESET);
//		GPIO_WriteBit(GPIOA,GPIO_Pin_0,(BitAction)0);  // 0为低电平
		// 7、这里加延时函数
//		Delay_ms(500);
		
		// 熄灭 
//		GPIO_SetBits(GPIOA,GPIO_Pin_0);
//		Delay_ms(500);
//	    GPIO_WriteBit(GPIOA,GPIO_Pin_0,Bit_SET); 如果这里想要直接使用自己定义的参数代替第三个参数,
//		GPIO_WriteBit(GPIOA,GPIO_Pin_0,(BitAction)1);  // 1为高电平
	//  (BitAction)0 需要加
		
//	    Delay_ms(500);
		GPIO_SetBits(GPIOA,GPIO_Pin_1);
		Delay_ms(1000);
		GPIO_ResetBits(GPIOA,GPIO_Pin_1);
		Delay_ms(1000);
		GPIO_SetBits(GPIOB,GPIO_Pin_1);
		Delay_ms(500);
		GPIO_ResetBits(GPIOB,GPIO_Pin_1);
		Delay_ms(500);
	}

}

总结

       实现LED灯闪烁的核心在于控制GPIO引脚的高低电平状态和添加适当的延时。在STM32上,通过操作寄存器来控制GPIO引脚状态,然后利用延时函数来控制LED的亮灭间隔。

总结如下步骤:

  1. 包含必要的头文件,如STM32的设备头文件和延时函数头文件。

  2. 初始化LED的GPIO引脚,可以通过调用初始化函数实现。

  3. 进入一个无限循环,通常使用while(1)

  4. 在循环中,通过操作GPIO引脚的寄存器,设置LED引脚的状态,从而控制LED的亮灭。

  5. 在LED状态改变后,添加适当的延时,以控制LED的亮灭间隔。

  6. 循环执行步骤4和步骤5,实现LED的闪烁效果。

        这样,通过简单的C语言代码,就可以在STM32上实现LED的闪烁功能。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:/a/645970.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

1.6 什么是程序-编译与调试

目录 1 程序的作用 2 新建项目及编译运行 2.1 新建项目 2.2 HelloWorld 程序说明 2.3 printf 打印输出 2.4 注释 3 程序的编译过程及项目位置 4 断点及调试窗口设置 5 学习C语言后的境界 1 程序的作用 如下图所示,我们编写了一个可以做加法的程序&#xf…

【机器学习-23】关联规则(Apriori)算法:介绍、应用与实现

在现代数据分析中,经常需要从大规模数据集中挖掘有用的信息。关联规则挖掘是一种强大的技术,可以揭示数据中的隐藏关系和规律。本文将介绍如何使用Python进行关联规则挖掘,以帮助您发现数据中的有趣模式。 一、引言 1. 简要介绍关联规则学习…

Python编程之调试魔法与列表逆转之谜

新书上架~👇全国包邮奥~ python实用小工具开发教程http://pythontoolsteach.com/3 欢迎关注我👆,收藏下次不迷路┗|`O′|┛ 嗷~~ 目录 一、调试魔法:揭开Python编程的神秘面纱 代码调试实例 二、列表逆转之谜&#…

图书管理系统——Java版

找往期文章包括但不限于本期文章中不懂的知识点: 个人主页:我要学编程(ಥ_ಥ)-CSDN博客 所属专栏:JavaSE 顺序表的学习,点我 目录 图书管理系统菜单 基本框架: 书: 书架: 用户&#xff…

JavaEE初阶多线程 (5)

1.锁的策略 1.1锁的策略是什么 这个锁的策略可以理解为,一种做法,相当于当你遇到锁竞争,加锁解锁,的情况你会怎么做。 乐观锁可以理解为疫情的时候比较乐观就买了最基本的物资, 买的时候非常方便 1.2乐观锁 当效率…

web及网络基础图文详解

目录 1.1TCP/IP 协议族 1.2TCP/IP 的分层管理 1.3TCP/IP通信传输流 1.4 与 HTTP 关系密切的协议 : IP、TCP 和 DNS (1)负责传输的 IP协议(网络层) (2)确保可靠的 TCP协议(传输层&#xff…

2024/5/26周报

文章目录 摘要Abstract文献阅读题目创新点方法网络架构LSTM 实验过程Data acquisitionData preprocessingAlgorithm parameter settingsModels evaluation 实验结果 深度学习ARIMA一、ARIMA模型的基本思想二、ARIMA模型的数学表达式三、差分过程 总结 摘要 本周阅读了一篇基于…

Aya 23 是 Cohere For AI 推出的一款最先进的新型多语言开放重量模型

相信一些对LLM关注较高的同学们,应该对这家加拿大的Cohere不会太陌生。毕竟此前,它就开源过 Aya 101 和 Command R 这两款大模型。 Cohere 的非营利性研究实验室 Cohere for AI 发布了 Aya 23,这是其多语言大型语言模型 (llm&…

计算机毕业设计 | SpringBoot社区物业管理系统 小区管理(附源码)

1, 概述 1.1 课题背景 近几年来,随着物业相关的各种信息越来越多,比如报修维修、缴费、车位、访客等信息,对物业管理方面的需求越来越高,我们在工作中越来越多方面需要利用网页端管理系统来进行管理,我们…

就业班 第三阶段(ELK) 2401--5.20 day1 ELK 企业实战 ES+head+kibana+logstash部署(最大集群)

ELKkafkafilebeat企业内部日志分析系统 1、组件介绍 1、Elasticsearch: 是一个基于Lucene的搜索服务器。提供搜集、分析、存储数据三大功能。它提供了一个分布式多用户能力的全文搜索引擎,基于RESTful web接口。Elasticsearch是用Java开发的&#xff…

力扣HOT100 - 287. 寻找重复数

解题思路: 快慢指针 第一步,慢指针每次移动一步,快指针每次移动两步,直到它们相遇。这一步保证了它们在环中相遇。 接下来,将其中一个指针(快指针或慢指针)重置到起点(即数组的第一…

IP数据云确认参展2024 ChinaJoy BTOB与诸位共展未来!

作为在全球数字娱乐领域兼具知名度与影响力的年度盛会,2024年第二十一届ChinaJoy BTOB将于7月26日至7月28日在上海新国际博览中心盛大召开,秉承着初心“游”在,精彩无限!(英译:Stay True, Game On.&#xf…

数据库攻防之MySQL

MySQL 是最流行的关系型数据库,与此同时也是 web 应用中最好的关系型数据库管理应用软件。我们在渗透过程中碰到的 PHP 站点大部分都会搭配 MySQL 数据库,因此它是红队攻防中最常遇到的数据库。 0x01 MySQL简介 MySQL 是典型的关系型数据库,…

Gradle筑基——Gradle Maven仓库管理

基础概念: 1.POM pom:全名Project Object Model 项目对象模型,用来描述当前maven项目发布模块的基础信息 pom主要节点信息如下: 配置描述举例(com.android.tools.build:gradle:4.1.1)groupId组织 / 公司的名称com.…

Linux-之 简易:Shell编程

1 为什么要学习Shell编程 对于JavaEE和Python程序员来说,工作的需要,你的老大会要求你编写一些Shel脚本进行程序或者是服务器的维护,比如编写一个定时备份数据库的脚本. 对于大数据程序员来说,需要编写Shell程序来管理集群 2 Shell是什么 Shell是一个命令行解释器&#xff…

AIGC 005-Dreambooth定制化生成,微调文本到图像的扩散模型!

AIGC 005-Dreambooth定制化生成,微调文本到图像的扩散模型! 文章目录 0 论文工作1 论文方法2 效果 0 论文工作 DreamBooth 论文 (DreamBooth: Fine-Tuning Text-to-Image Diffusion Models for Subject-Driven Generation) 提出了一种新颖的技术&#x…

AI视频教程下载:用提示工程在GPT商店构建10个GPTs

你将学到什么? 深入了解ChatGPT平台和GPT商店的生态系统。 开发为多样化应用定制GPT模型的专业知识。 掌握高效内容生成的AI自动化技术。 学习高级提示工程以优化ChatGPT输出。 获取构建AI驱动的数字营销和广告解决方案的技能。 了解如何为SEO写作和优化创建专…

iOS--锁的学习

iOS--锁的学习 锁的介绍线程安全 锁的分类自旋锁和互斥锁OSSpinLockos_unfair_lockpthread_mutexpthread_mutex的属性 NSLockNSRecursiveLockNSConditionNSConditionLockdispatch_semaphoredispatch_queuesynchronizedatomicpthread_rwlock:读写锁dispatch_barrier_…

S1E45:单链表1 课后作业

测试题:0. 相比起数组来说,单链表具有哪些优势呢? 答:长度非固定,可以申请添加长度 答案:对于数组来说,随机插入或者删除其中间的某一个元素,都是需要大量的移动操作,而…

Vue.Draggable:强大的Vue拖放组件技术探索

一、引言 随着前端技术的不断发展,拖放(Drag-and-Drop)功能已经成为许多Web应用不可或缺的一部分。Vue.js作为现代前端框架的佼佼者,为开发者提供了丰富的生态系统和强大的工具链。Vue.Draggable作为基于Sortable.js的Vue拖放组件…