RISC-V微架构验证

对于RISC-V处理器因其灵活性和可扩展性而受到广泛关注,但如果没有高效验证策略,错误的设计实现可能会影响RISC-V的继续推广。

在RISC-V出现之前,对于大多数半导体公司来说,处理器验证几乎成为一门屠龙之技。专业知识被浓缩到少数几家提供处理器或处理器 IP 的商业公司中,并且经常开发自己的内部流程和工具。但是,开源 RISC-V ISA 的出现以及开源实现的激增引起了人们的极大兴趣,并且需要适当的工具和专业知识。

在过去的一年里,RISC-V International宣布了几项新的扩展。此外,鼓励用户进行自己的扩展和修改。虽然开发这些扩展可能是一个相对快速和简单的过程,但验证它们并不容易。

很少有标准或开源工具可以帮助处理器验证。RISC-V 是一个开放的 ISA,任何人都可以接受它并实现处理器。但RISC-V市场的领导者知道,仅仅因为他们不需要支付许可使用费,并不意味着RISC-V是便宜的选择。

如果你想在RISC-V上取得成功,验证是没有捷径的。

很多人天真地认为验证处理器就是测试指令是否有效。他们去构建一个测试生成器,做这个,做那个,或者引入一个验证套件,但真正的问题与微架构、pipeline有关。对于微架构验证的复杂性,没有标准的方法,甚至没有公开讨论。

对于给定的 RISC-V CPU,验证容易被低估。RISC-V带来了大量的控制和数据路径复杂性。包括预测执行、乱序执行等等为更具挑战性的工作负载提供更高性能的常用技术,这些技术是RISV-V成为服务器级设备中被采用CPU的必备技术。但这些技术也会导致可以利用的安全漏洞,例如 Spectre 和 Meltdown。

RISC-V的开发人员很多来自传统的CPU架构背景,他们正在重用所学到的任何东西。但这仍然不容易,RISC-V和传统的CPU有一些生态上的区别。

RISC-V微架构的验证正处于一个十字路口,既要平衡开放性和灵活性的优势,又要应对多样性和复杂性的挑战。随着生态系统的成熟,应对这些挑战对于将RISC-V确立为其他微架构(ARM、X86)的可靠和安全替代方案至关重要。

通过社区驱动的方法、持续的创新和对标准化的关注,RISC-V的验证环境无疑将不断发展并迎接挑战。

不止随机

处理器验证不同于常规的ASIC验证,处理器验证空间更大。ASIC 中的 AS 代表特定应用。完全验证芯片的预期应用是有限且有界的。处理器验证不是。处理器指令集架构 (ISA) 中的每个操作都必须经过验证,以便在每种可能性(每种指令组合)中提供指定的行为,而在验证处理器 IP 时无法预测这一点。

SystemVerilog 和 UVM 是 ASIC 验证的主力军。UVM 是进行随机指令生成的好方法,但它有局限性。例如,覆盖率。如果你说你对“add指令”有 100% 的覆盖率,你一定有与我不同的覆盖率含义,因为我不相信你涵盖了所有可能的组合。此外,向处理器提供激励的典型方法是生成一堆随机指令。你也许能够根据要测试的特定区域来调整这些指令,但这是尝试验证处理器的一种非常低效的方法。它会发现一些简单的错误,但你必须继续提高验证效率。

很多客户都在做受约束的随机,事实上确实存在几个指令生成器,可以定向随机地为你生成数十万条指令。但这够了吗?

根据传统CPU供应商的经验,以及在RISC-V内核中看到的情况,基于仿真的验证是不够的。这就是为什么你必须考虑其他方法,比如Formal验证。

处理器以自下而上的方式进行验证,类似于当今系统的验证方式。处理器子单元包括分支预测、pipeline的一部分或内存系统,如cache缓存。这也往往是Formal方法的亮点。有些人在受约束的随机性下这样验证prefetch buffer/ALUs/register models/multipliers/load store unit,他们得到了很好的覆盖。但是,如果你不使用Formal验证,你就有可能在那里留下一些极端的情况,这就是验证的最后一公里,有遗留bug的风险。

55e3293f8984c087a4ef3c3323a422ed.png

使用Formal验证处理器子模块

验证子单元后,可以集成它们。可以想象在启动 Linux 时发现 ALU 错误是一种什么样的体验。

现在,需要一种更加混合的验证策略。Formal验证是有用的,因为从根本上说,Formal验证会执行所有可能的输入组合来验证ISA指定的行为,这些行为通常被描述为SystemVerilog断言。主要的处理器供应商还拥有广泛的验证套件,包括UVM测试平台和测试软件。仿真对于全面验证大型处理器的所有模块更是必要的,并确保将正确的行为集成到 SoC 中,同时还允许在被测处理器上执行测试软件。

令人惊讶的是,一个处理器核心中可以有很多错误,并且仍然能够启动 Linux。通过真实的linux系统启动,你会发现各种在其他EDA验证和Formal验证中找不到的东西,比如很多异步时序。

大多数人通过将实现的功能与参考模型进行比较来验证处理器。规格并非在各个方面都精确,它可能没有说明当六个相同优先级的中断同时发生时会发生什么。微架构选择采用哪一个,在pipeline的哪个阶段处理,这些选择因处理器内核而异。这个时候参考模型可能会起到意想不到的作用,当参考模型和RTL设计比对不通过时,你就会分析设计是否合理!

RISC-V的一大吸引力在于,你可以自由地修改处理器,使其更适合特定应用。挑战在于,你投入的每一件事情都会使验证加倍,复杂性加倍。添加东西非常容易,但是很难让它们以高质量出口。很多人没有意识到自定义指令增加的复杂性。他们必须自己进行所有这些验证。对于你添加的所有内容,必须完全重新验证所有内容,以及这个添加不会影响更多内容。你必须考虑它如何影响设计的其余部分,特别是如果它改变了pipeline中的内容、ALU 中的冲突、cache系统的问题或load store的东西。

你什么时候完成?
验证永远不会完成。普遍接受的想法是,当验证做得足够时,风险是可控的。

验证的过程就是告诉你已经做了哪些事情,验证给了你一定程度的信心,但它并不能保证不会有问题。如果你使用仿真,你可以生成大量的覆盖率报告,这些报告会让你有信心说你已经激发了你的设计的大部分,并且覆盖率证明了这一点,并且你已经发现了某些类别的错误。

由于处理器验证的复杂性,这种覆盖范围是不够的。

处理器覆盖率不仅仅是所有指令以及指令的耦合,此时你只是关注处理器中的解码器。你并没有真正测试其他任何东西,包括指令序列以及pipeline中发生的情况。

随着 RISC-V 中引入新的自定义指令,了解微架构以及如何影响整个 SoC 及其上运行的工作负载非常重要。硬件辅助验证,如虚拟原型功能、仿真和硬件原型设计,是整个验证流程中的关键组成部分。这些技术有助于确保RISC-V微架构决策不会对功耗和性能tradeoff产生负面影响。

当涉及到安全时,需要更加严格。根据最终产品所需的认证级别,他们必须达到一定程度的故障覆盖率。需要注入定义明确的故障,这些故障由 ISO 26262 为功能安全而定义。进行故障分析,然后生成诊断覆盖率。如果在设计中为关键功能插入这些故障,你是否内置了安全机制来处理这些故障?

当无法完成验证时,启发式方法往往变得很重要。原则性建议是,在验证是不要认为自己是一个验证工程师,需要站在用户的角度使用这款芯片,即必须继续运行真正的软件。在你运行一段时间后,一切似乎都正常。这是一个非常有价值的事情,即使无法量化,但是仍旧有很大的意义。

RISC-V的开源特性也使其面临潜在的安全风险。虽然透明度允许社区驱动的审查,但这也意味着对手可以访问相同的信息。这需要强大的安全验证,确保微架构能够抵御各种攻击。与封闭式架构相比,RISC-V的安全挑战更加严峻,专有设计可以对其安全功能保密。

RISC-V需要更多专用的验证工具。当处理器由五家不同的公司设计时,对这些处理器的测试生成器或Formal工具的需求并不大,因为它们都是在各个公司内部构建的。但现在有了RISC-V,围绕RISC-V ISA的架构分析、验证、Formal肯定有一个市场。

随着时间的推移,我们会看到人们为RISC-V的性能分析工具和Formal工具,但现在还为时过早。

下图列出了验证处理器微架构时可以考虑的方法。

342e3ca10415e320bd69ee6724d0d72d.png

处理器的验证方法。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mfbz.cn/a/549983.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

基于afx透明视频的视觉增强前端方案

作者 | 青玉 导读 本文介绍了增长前端团队自研的Webview框架下透明视频视觉增强方案,该方案在保证对视觉进行高度还原的同时可投入更少的开发成本,还能获得更优的前端性能表现。文章首先分析了市面上动画方案的优缺点,然后详细介绍了透明视频…

stm32实现hid鼠标

启动CubelMX 选择芯片(直接输入stm32f103zet6) 设置时钟 如下图 usb设置 配置usb设备 调试端口设置 配置时钟 项目输出设置 打开工程(后记:此工程含有中文不能编译通过) 配置项目 配置调试器 编译无法通过 删除路径中的中文,以及…

如何将Oracle 中的部分不兼容对象迁移到 OceanBase

本文总结分析了 Oracle 迁移至 OceanBase 时,在出现三种不兼容对象的情况时的处理策略以及迁移前的预检方式,通过提前发现并处理这些问题,可以有效规避迁移过程中的报错风险。 作者:余振兴,爱可生 DBA 团队成员&#x…

盲人专用软件定制开发:突破出行壁垒,点亮生活之路

身为一名资深记者,我始终关注着各类社会群体面临的挑战与应对策略。今天,我将目光投向了一个特殊群体——盲人,以及一款旨在破解他们独立出行难题的盲人专用软件。这款应用叫做蝙蝠避障,它通过定制开发,以先进的技术手…

Achronix FPGA增加对Bluespec提供的基于Linux的RISC-V软处理器的支持,以实现可扩展数据处理

Bluespec支持加速器功能的RISC-V处理器将Achronix的FPGA转化为可编程SoC 2024年4月——高性能FPGA芯片和嵌入式FPGA(eFPGA)硅知识产权(IP)领域的领先企业Achronix半导体公司,以及RISC-V工具和IP领域的行业领导者Blues…

PySpark预计算ClickHouse Bitmap实践

1. 背景 ClickHouse全称是Click Stream,Data WareHouse,是一款高性能的OLAP数据库,既使用了ROLAP模型,又拥有着比肩MOLAP的性能。我们可以用ClickHouse用来做分析平台快速出数。其中的bitmap结构方便我们对人群进行交并。Bitmap位…

0基础如何入门编程?

0基础如何进入IT行业 ? 前言 简介:对于没有任何相关背景知识的人来说,如何才能成功进入IT行业?是否有一些特定的方法或技巧可以帮助他们实现这一目标? 主要方法有如下几点建议提供给宝子们 目录 免费视频网课学习…

记录一下hive跑spark的insert,update语句报类找不到的问题

我hive能正常启动,建表没问题,我建了一个student表,没问题,但执行了下面一条insert语句后报如下错误: hive (default)> insert into table student values(1,abc); Query ID atguigu_20240417184003_f9d459d7-199…

【HCIP学习】OSPF协议基础

一、OSPF基础 1、技术背景(RIP中存在的问题) RIP中存在最大跳数为15的限制,不能适应大规模组网 周期性发送全部路由信息,占用大量的带宽资源 路由收敛速度慢 以跳数作为度量值 存在路由环路可能性 每隔30秒更新 2、OSPF协议…

十大排序——11.十大排序的比较汇总及Java中自带的排序算法

这篇文章对排序算法进行一个汇总比较! 目录 0.十大排序汇总 0.1概述 0.2比较和非比较的区别 0.3基本术语 0.4排序算法的复杂度及稳定性 1.冒泡排序 算法简介 动图演示 代码演示 应用场景 算法分析 2.快速排序 算法简介 动图演示 代码演示 应用场景…

shell编程-1

shell编程 1 初识shell 1.1 文件描述符与输出重定向 FD (file descriptor) FD值英文含义0Standard Input正确输入,并返回在前端1Standard Output正确返回值2Standard Error Output错误返回值 常见格式 a.txt 1>a.txt 标准正确输出到a.txt 2>a.txt 准错…

5_vscode+valgrind+gdb调试程序

需求 项目程序, 读取串口数据, 出现程序崩溃问题valgrind 可以调试定位内存问题: 内存泄漏,非法地址访问,越界访问等内存问题vscode gdb 可视化调试效果, 比命令行简单快捷很多期望使用vscode valgrind gdb 调试程序内存异常, 崩溃退出的问题 环境准备 sudo apt install v…

大话设计模式之解释器模式

解释器模式是一种行为设计模式,它用于解释语言的语法或表达式,将其转换为可执行的程序或操作。这种模式通常用于处理类似编程语言或规则引擎中的问题。 在解释器模式中,通常有两种角色: 解释器(Interpreter&#xff0…

Linux网络基础(一)

网络发展 对于我们国家来讲,网络的发展,不仅仅是互联网公司在发展,提供重要推动力的还有三大运商 随之而来的是新设备的诞生。比如集线器,网线,光纤,调制解调器,路由器,防火墙&am…

盲盒小程序成为收益“法宝”?盲盒线上如何发展

近年来,盲盒在年轻人中掀起了一股潮玩热风,受到了不少年轻人的青睐,盲盒商品更是在不断创新中,收藏价值逐渐提高。随着市场规模的扩大,越来越多的玩家和商家涌入到了市场中,盲盒的商业模式正在加快发展中。…

VBA脚本: excel隐藏和展开指定行 【图文】

打开开发工具功能 【文件】-》【选项】-》【自定义功能区】-》勾选【开发工具】-》【确定】 代开VBA编辑器 【开发工具】-》【Visual Basic】 插入模块 编写代码 所有sheet 关闭 Sub HideRowsInAllSheets()Dim ws As WorksheetDim i As Integer 循环遍历所有工作表For E…

使用Python进行自动化测试【第163篇—自动化测试】

👽发现宝藏 前些天发现了一个巨牛的人工智能学习网站,通俗易懂,风趣幽默,忍不住分享一下给大家。【点击进入巨牛的人工智能学习网站】。 如何使用Python进行自动化测试:测试框架的选择与应用 自动化测试是软件开发过程…

ubuntu+安装Google Protobuf 库

本文参考文章如下 https://blog.csdn.net/wzw1609119742/article/details/119712422#t18https://blog.csdn.net/j8267643/article/details/134133091https://blog.csdn.net/jax_fanyang/article/details/135937002?spm1001.2014.3001.5502 现在论坛上据大部分的教程都是下面…

java正则表达式教程

什么是正则表达式: 正则表达式是一种用来描述字符串模式的语法。在 Java 中,正则表达式通常是一个字符串,它由普通字符(例如字母、数字、标点符号等)和特殊字符(称为元字符)组成。这些特殊字符可…

ubuntu22安装宝塔面板

方法一:运行安装宝塔命令 wget -O install.sh https://download.bt.cn/install/install-ubuntu_6.0.sh && sudo bash install.sh ed8484bec 安装成功后,需到服务器管理后台的安全组中配置新规则,放行宝塔面板的端口(以阿…