自动化脚本使用
1.日常工程的自动化脚本程序,一共需要两个文件.do和.文件bat
sim.do文件如下
#删除work工作目录
file delete -force work
#设置uvm环境变量,指定uvm的dpi位置
set UVM_HOME C:/questasim64/verilog_src/uvm-1.1d
set UVM_DPI_HOME C:/questasim64/uvm-1.1d/win64
#创建work工作目录,存放仿真数据文件
vlib work
vmap work work
#vlog表示编译 *.sv表示do文件同级路径下所有.sv文件 -L表示添加库文件
vlog +incdir+$UVM_HOME/src\
-L mtiAvm\
-L mtiOvm\
-L mtiUvm\
-L mtiUPF\
-timescale=1ns/1ps\
./testbench/*.sv \
./testbench/*.v
#执行仿真
#选择仿真的tb文件
vsim -novopt work.top_sim_tb
#合并覆盖率
#vcover merge -out merged.ucdb ./test_covdb
#添加波形,top_sim_tb文件例化的top_inst 实例,将top的所有信号添加到波形中
#add wave top/dif/*
add wave -position insertpoint \
sim:/top_sim_tb/top_inst/*
#运行仿真
run 20us
.bat文件内容如下:
vsim -do sim.do
2.测试文件目录如下:
3.双击run.bat ,可以自动化跑起来