jmeter随记3:常用jmeter功能(附带场景)

常用jmeter功能(附带场景)

      • 一、jmeter其他特性
        • 1、请求的接口有多个 且 域名相同
        • 2、 jmeter支持统一管理参数的设置
          • a、创建HTTP Header Manager
          • b、用户定义参数
          • c、csv数据文件设置
        • 3、接口a的返回值作为 接口b的入参
          • a、 `json`提取器
          • b、 正则表达式
        • 4、if controller
          • 场景1 ,满足一定条件,会触发调用某(些)接口

一、jmeter其他特性

1、请求的接口有多个 且 域名相同

可以写到http请求默认值里【主要填写的部分:通讯协议,请求的内容编码,服务器域名】;
创建路径:在 testplan 右键 add-> Config Element -> HTTP Request Defaults
内容面板数值设置示例:
在这里插入图片描述
这样http请求就不需要写域名了,只需要写请求方式和请求路径及入参
在这里插入图片描述

2、 jmeter支持统一管理参数的设置

a、创建HTTP Header Manager

创建路径: 在testplan 右击 add -> Config Element -> HTTP Header Manager
内容设置:他的设置是key,value的形式 ,调用http请求的时候,你可以把这个对象看作是工具,定义在testplan里,作为全局变量
使用场景:用户鉴权信息需要作为全局变量供其他接口使用

详述:
当接口要做用户鉴权(BeanShell PreProcessor,此处定义在http请求上)
鉴权代码样例
在这里插入图片描述
定义的http 头信息管理器界面
在这里插入图片描述

b、用户定义参数

创建路径:在testplan右键 add-> Config Element ->User Defined Variables
赋值方式为 key,value 的形式
适用场景:可以在这里定义一些商品ID,skuid 等等,便于其他接口作为入参去调用
大概长这个样子:
在这里插入图片描述

使用场景1:
用户参数变量的应用包括但不局限于http接口请求参数
http接口请求要用到的变量值:
在这里插入图片描述
添加购物车:
在这里插入图片描述
使用情况2:
请求路径带变量 ,eg:如 www.baidu.${a} ,a的值为com
jmeter可以通过在用户变量参数设置值,然后在这里获取使用。

c、csv数据文件设置

创建路径:在testplan 右键 add->Config Element -> CSV Data Set Config
界面参数参看:
最后一列Sharing mode ,是可以指定csv文件数据的作用域的,值域:All threads ,Current thread group ,Current thread,Edit【此项为作用域的可扩展项设置】
在这里插入图片描述
文件内容参看:即文件里的内容只有数据项,没有变量名称的指定
在这里插入图片描述
以上几种定义变量的方式,可以用: ${变量名} 的形式来取值。

至于是全局还是局部,看定义的位置和设置参数作用域范围

3、接口a的返回值作为 接口b的入参

a、 json提取器

创建路径:在http请求上面右键 add ->Post Processors ->JSON Extractor
jmeter实现它的作用:上一个接口返回值是其他接口的入参
界面数值的设置:下图创建变量名为token ,则引用的地方可以写${token}
在这里插入图片描述

ps : 如果是对象的话,可以直接取值 $.data.字段
如果是数组,$.data[0].字段

  • 场景1:下单接口的订单号,作为查询物流信息接口的入参
    此处可以用jmeterjson提取器来实现接口间传值,创建json提取器:

  • 场景2:用户登陆后返回的token ,修改用户手机号的时候http信息头管理器需要用到token信息。
    在这里插入图片描述
    引用:
    在这里插入图片描述

  • 场景3 a接口返回值为数组里的字段值是b接口需要的
    接口返回值的层级结构:想获取id
    在这里插入图片描述
    json提取器的值 :$.data.order[0].merchant.id

b、 正则表达式

创建路径:add->Post Processors -> Regular Expression Extractor
界面数值设置:"cartId":"(.+?)"
关于正则此处不做过多解说,想了解可以参看:jmeter正则
在这里插入图片描述
取值的地方:
在这里插入图片描述

4、if controller

创建路径:在线程组右键 add->Logic Controller -> If Controller

场景1 ,满足一定条件,会触发调用某(些)接口

这里只是简单的逻辑控制器的使用,想了解更多可以参看:jmeter逻辑控制器
在这里插入图片描述
逻辑控制器还可以嵌套
在这里插入图片描述

想了解更多可参看
jmeter的官网
想了解的同学可以关注官网的这部分内容在这里插入图片描述

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mfbz.cn/a/44004.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

小程序中vant-weapp时间选择使用方法

一、选择单个时间点&#xff1a; wxml&#xff1a; <van-celltitle"选择预约时间"value"{{ time }}"bind:click"onDisplay"/><van-calendarshow"{{ show }}"bind:close"onClose"bind:confirm"onConfirm"…

嵌入式Linux驱动开发——常见框架梳理

前言 本文主要介绍了Linux驱动开发中一些常用的驱动框架&#xff0c;platform、input、iic、spi等&#xff0c;硬件平台使用的是正点原子的imx6ull开发板。 一&#xff1a;Pinctrl子系统、Gpio子系统 不管什么框架最后都是要追溯到配置IO的电气属性和复用功能 如果要使用外部…

seatunnel hive source 未设置分隔符导致多个字段合并成一个的问题定位解决

seatunnel hive source 未设置分隔符导致多个字段没有切分全保存在一个字段中了,翻看源码发现分隔符是是通过delimiter设置的,只要设置这个delimiter","就可以了。 设置这个属性 delimiter“,” 他的默认值是\u0001,如果没有设置delimiter属性则会根据文件类型判断…

如何用3D格式转换工具HOOPS Exchange读取颜色和材料信息?

作为应用程序开发人员&#xff0c;非常希望导入部件的图形表示与它们在创作软件中的外观尽可能接近。外观可以在每个B-Rep面的基础上指定&#xff0c;而且&#xff0c;通过装配层次结构的特定路径可以在视觉外观上赋予父/子覆盖。HOOPS ExchangeHOOPS Exchange可捕获有关来自各…

介电陶瓷类材料介电测试

介电陶瓷类材料介电测试 介电陶瓷类材料介电测试 介电陶瓷又称电介质陶瓷。在电场作用下具有极化能力&#xff0c;且能在体内长期建立起电场的功能陶瓷&#xff0c;能承受较强的电场而不被击穿。它具有较高的介电常数、较低的介质损耗和适当的介电常数温度系数。用于各类电容…

办公室安全升级,如何保障人身财产安全?

视频监控&#xff0c;一种常见的安全措施&#xff0c;以监视和记录办公室内的活动。这项技术为企业提供了许多优势&#xff0c;包括保障员工和财产安全、帮助调查犯罪事件、提高业务管理效率以及应对突发事件。 因此&#xff0c;在合理范围内应用视频监控&#xff0c;将为企业提…

Pytorch从入门到精通:二、dataset与datalodar

数据是深度学习的基础&#xff0c;一般来说&#xff0c;数据量越大&#xff0c;训练出来的模型也越强大。如果现在有了一些数据&#xff0c;该怎么把这些数据加到模型中呢&#xff1f;Pytorch中提供了dataset和dataloader&#xff0c;让我们一起来学习一下吧&#xff0c;datase…

物通博联5G+工业互联网解决方案助力打造5G智能工厂

面对来自成本和市场等压力挑战&#xff0c;工业企业正通过数字化升级提升效益降低成本&#xff0c;拓展发展空间。 随着科技的不断发展&#xff0c;5G技术已经成为了全球关注的焦点。5G技术的高速度、低延迟和大连接特性为各行各业带来了巨大的变革机遇。工业和信息化部有关负…

10年程序员,想对新人说什么?

前言 最近知乎上&#xff0c;有一位大佬邀请我回答下面这个问题&#xff0c;看到这个问题我百感交集&#xff0c;感触颇多。 在我是新人时&#xff0c;如果有前辈能够指导方向一下&#xff0c;分享一些踩坑经历&#xff0c;或许会让我少走很多弯路&#xff0c;节省更多的学习的…

linux升级mysql

linux升级mysql 一.介绍二.下载三.文件配置1.查找删除mysql2.解压配置 四.修改配置五.初始化mysql服务六.启动mysql七.配置数据库七.测试 一.介绍 由于最近业务需要&#xff0c;不得不将之前的mysql5.7.26升级到mysql8.0加了 Linux安装mysql&#xff08;5.7.26&#xff09;&…

Docker-Compose 轻松搭建 Grafana+InfluxDb 实用 Jmeter 监控面板

目录 前言&#xff1a; 1、背景 2、GranfanaInfluxDB 配置 2.1 服务搭建 2.2 配置 Grafana 数据源 2.3 配置 Grafana 面板 3、Jmeter 配置 3.1 配置 InfluxDB 监听器 3.2 实际效果 前言&#xff1a; Grafana 和 InfluxDB 是两个非常流行的监控工具&#xff0c;它们可…

华为OD机试真题 Java 实现【告警抑制】【2023 B卷 100分】,附详细解题思路

目录 专栏导读一、题目描述二、输入描述三、输出描述四、解题思路五、Java算法源码六、效果展示1、输入2、输出3、说明 华为OD机试 2023B卷题库疯狂收录中&#xff0c;刷题点这里 专栏导读 本专栏收录于《华为OD机试&#xff08;JAVA&#xff09;真题&#xff08;A卷B卷&#…

KnowStreaming系列教程第二篇——项目整体架构分析

一、KS项目代码结构&#xff1a; ks项目代码结构如上&#xff1a; (1)km-console 是前端部分&#xff0c;基于React开发 (2)km-rest 是后端部分&#xff0c;主要是接受前端请求&#xff0c;对应controller相关代码所在模块 (3)km-biz:业务逻辑处理 (4)km-core:核心逻辑 (5…

剖析未曾开言先转腚-UMLChina建模知识竞赛第4赛季第8轮

DDD领域驱动设计批评文集 欢迎加入“软件方法建模师”群 《软件方法》各章合集 之前的第8轮题目无人答对&#xff0c;现换题重出。 参考潘加宇在《软件方法》和UMLChina公众号文章中发表的内容作答。在本文下留言回答。 只要最先答对前3题&#xff0c;即可获得本轮优胜。第…

【Java】JVM运行流程以及垃圾回收处理

目录 1.JVM简介 2.JVM 和《Java虚拟机规范》 3.JVM运行流程 1.类加载器 1.一个类的生命周期 2.双亲委派模型 2.JVM运行时数据区 1.方法区&#xff08;线程共享&#xff09; JDK 1.8 元空间的变化 运行时常量池 2.堆&#xff08;线程共享&#xff09; 2.1演示OOM异常…

用i18next使你的应用国际化-Vue

ref: https://www.i18next.com/ 在vue项目中安装相关依赖&#xff1a; i18nexti18next-vuei18next-browser-languagedetector&#xff0c;用于检测用户语言 npm install i18next i18next-vue i18next-browser-languagedetector创建i18n.js文件&#xff1a; import i18next f…

Sony索尼CMOS图像传感器SubLVDS与SLVS-EC接口FPGA开发方案

索尼Sony公司的工业CMOS图像传感器主要有3种接口&#xff1a;Sub-LVDS、SLVS、SLVS-EC。 Sub-LVDS接口的CMOS主要是IMX2XX系列和IMX3XX系列的一部分型号&#xff0c;例如IMX250&#xff0c;IMX252、IMX255、IMX392、IMX304等。 SLVS与SLVS-EC接口的CMOS主要是IMX3XX系列的一部分…

听GPT 讲K8s源代码--pkg(七)

k8s项目中 pkg/kubelet/config&#xff0c;pkg/kubelet/configmap&#xff0c;pkg/kubelet/container&#xff0c;pkg/kubelet/cri 这几个目录处理与 kubelet 配置、ConfigMap、容器管理和容器运行时交互相关的功能。它们共同构成了 kubelet 的核心功能&#xff0c;使其能够在 …

AIGC书籍推荐:《生成式深度学习的数学原理》

生成式 AI 使用各种机器学习算法&#xff0c;从数据中学习要素&#xff0c;使机器能够创建全新的数字视频、图像、文本、音频或代码等内容。生成式 AI 技术在近两年取得了重大突破&#xff0c;产生了全球性的影响。它的发展离不开近年来生成式深度学习大模型的突破。与一般意义…

Vue组件自定义事件

v-on:xxx"" &#xff1a;绑定 this.$emit(xxx) : 触发 this.$off() : 解绑 App.vue <template><div class"app"><h1>{{msg}}</h1><!--通过父组件给子组件传递函数类型的props实现&#xff1a;子给父传递参数--><…