静态时序分析:SDC约束命令set_drive详解

相关阅读

静态时序分析icon-default.png?t=N7T8https://blog.csdn.net/weixin_45791458/category_12567571.html


        本章将讨论使用set_drive命令,它用于对输入端口的驱动能力建模。首先需要说明的是,默认情况下,DC在STA时默认输入端口的转换时间是0,这对于大多数情况来说过于理想。

        set_drive命令设置了驱动端口的上拉/下拉电阻,因此电阻值越大,意味着驱动能力越弱;电阻值越小,意味着驱动能力越强。

        该指令的BNF范式(有关BNF范式,可以参考以往文章)为:

set_drive 
    resistance port_list
    [-rise] [-fall] [-min] [-max]
    //注:该命令的port_list参数一定要放在resistance参数后           

指定电阻值

        resistance是一个非负的电阻值,代表了驱动输入端口的单元的输出电阻,它的单位要与工艺库中指定的电阻单位一致。

指定端口列表

        指定一个端口列表,包含输入端口或输入输出双向端口,如果有多于一个端口,需要使用引号或大括号包围。

简单使用

        以图1所示的电路图为例,首先在输入端口clk定义一个时钟。

create_clock -period 10 [get_port clk]

图1 一个简单的例子

        接着在输入端口d、c上分别定义两个输入延迟,参考时钟为clk。

set_input_delay 0.5 -clock clk [get_port d]
set_input_delay 0.5 -clock clk [get_port c]

        下面的set_drive命令在输入端口d上设置了驱动电阻。

set_drive 1 [get_port d]

        最后使用report_timing命令分别报告输入端口d、c到触发器的时序路径,记得使用-transition_time选项,结果如图2、图3所示。

图2 输入端口d的建立时间时序报告

 图3 输入端口c的建立时间时序报告

        从对比图4、图5可以看到,设置了驱动电阻的输入端口d的输入转换时间不再是0,而是0.001494,这实际上是电阻乘以输入端口电容(与输入端口连接的线网的总电容)的结果,可以使用report_net -significant_digits 7 [get_nets d]命

set_drive -rise 1 [get_port d]

令查看输入端口d的电容,如图4所示。

图4 输入端口电容报告

        同时我们可以发现,输入端口的驱动电阻同时带来了新的输入延迟(这个延迟虽然是外部延迟,但没有归为input external delay),延迟值同样是0.001494,计算方法与上面的转换时间一致。

指定上升、下降沿

        -rise选项用于指定驱动作用于端口的上升沿、-fall选项用于指定驱动作用于端口的下降沿。如果这两个选项都没有指定,延迟同时作用于时钟的上升沿和下降沿(相当于它们同时指定)。

        下面的命令指定了端口d的上升沿驱动电阻为1。

set_drive -rise 1 [get_port d]

        可以使用report_port -drive命令报告端口的驱动设置情况,如图5所示。

图5 端口驱动报告

         从图中我们可以发现,上面的命令只设置了最大条件的输入端口d的上升沿驱动电阻,并没有设置最小条件,这个原因在下一节会说明。

指定最大最小、条件

        -max选项用于指定驱动作用于最大条件(建立时间分析),-max选项用于指定驱动作用于最小条件(保持时间分析)。如果这两个选项都没有指定,延迟只作用于最大条件这点和以前的很多命令不一样,需要特别注意。

        下面的命令设置最小条件的输入端口d的上升沿驱动电阻为1,如图6所示。

set_drive -rise -min 1 [get_port d]

图6 端口驱动报告

 

写在最后

        这个命令已经逐渐过时,不推荐使用,建议使用set_driving_cell命令或set_input_transition命令。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:/a/401632.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

unity学习(36)——角色选取界面(自制美工)

1.添加一个背景图片,记不住可以查之前的资料(4) 图片拖入asset,属性设成sprite;把图片拖到source image中;colour白色(透明,点一下右边的笔即可);material为…

实战营第四节笔记

这节课包含四大部分,为finetune简介、xtuner介绍、使用8GB玩转LLM和动手实践环节。 LoRA和QLoRA是两种很重要的方法,对微调模型、减少内存使用非常有效。 后面是XTuner的介绍。 之后是动手实践。可参考https://github.com/InternLM/tutorial/blob/ma…

keil5废了怎么卸载干净

keil5废了怎么卸载干净 卸载keil5以及其文件卸载注册表 卸载keil5以及其文件 进入控制面板卸载keil5 卸载注册表 在HKEY_CLASSES_ROOT下 把这几个卸了

开源 - 一款可自定义的在线免杀平台|过x60、wd等

免责声明:本工具仅供安全研究和教学目的使用,用户须自行承担因使用该工具而引起的一切法律及相关责任。作者概不对任何法律责任承担责任,且保留随时中止、修改或终止本工具的权利。使用者应当遵循当地法律法规,并理解并同意本声明…

(HAL)STM32F103C6T8——软件模拟I2C驱动0.96寸OLED屏幕

一、电路接法 电路接法参照江科大视频。 二、相关代码及文件 说明:代码采用hal库,通过修改江科大代码实现。仅OLED.c文件关于引脚定义作了hal库修改,并将宏定义OLED_W_SCL(x)、OLED_W_SDA(x)作了相关修改。 1、OLED.c void OLED_I2C_Init(voi…

306_C++_QT_创建多个tag页面,使用QMdiArea容器控件,每个页面都是一个新的表格[或者其他]页面

程序目的是可以打开多个styles文件(int后缀文件),且是tag样式的(就是可以切多个页面出来,并且能够单独关闭);其中读取ini文件,将其插入到表格中的操作,也是比较复杂的,因为需要保持RGB字符串和前面的说明字符串对齐 ini文件举例: [MainMenu] Foreground\Selected=&…

机器学习基本概念(李宏毅课程)

目录 一、概念:1、机器学习概念:2、深度学习概念: 二、深度学习中f(.)的输入和输出:1、输入:2、输出: 三、三种机器学习任务:1、Regression回归任务介绍:2、Classification分类任务介绍:3、Stru…

【关于深度学习的一些资料】

曾梦想执剑走天涯,我是程序猿【AK】 目录 动手学深度学习Awesome Deep LearningTensorFlow Official ModelsPyTorch Image ModelsDeep Reinforcement LearningNeural Style Transfer 动手学深度学习 动手学深度学习 https://zh.d2l.ai/chapter_installation/index.…

【嵌入式学习】QT-Day2-Qt基础

1> 思维导图 https://lingjun.life/wiki/EmbeddedNote/20QT 2>登录界面优化 使用手动连接,将登录框中的取消按钮使用qt4版本的连接到自定义的槽函数中,在自定义的槽函数中调用关闭函数 将登录按钮使用qt5版本的连接到自定义的槽函数中&#xff…

【鼎捷数字化生意经】总说数字化转型?!怎么做才能带来远超你的想象的经济效益呢?他们来告诉你!

编者按: 转型一直在提,2018—2023年,实现数字化转型的企业仅占中国企业的10%,其中实现领军重塑的企业仅占2%。数据看起来并没有那么乐观! 新竞争格局下,企业需要直面挑战,定义新前沿&#xff0…

Stable Diffusion——常用插件安装与测试(一)

前言 随着Stable Diffusion不断演进,越来越多的开发者开始涉足插件开发。尽管网络上存在大量教程,但它们通常零散分布,逐个学习和查找非常耗时,使人感觉每天都在劳累思考。这里总结了Stable Diffusion常用的插件安装与测试方法。…

【管理咨询宝藏资料23】某资产管理公司薪酬体系设计报告

本报告首发于公号“管理咨询宝藏”,如需阅读完整版报告内容,请查阅公号“管理咨询宝藏”。 【管理咨询宝藏资料23】某资产管理公司薪酬体系设计报告 【格式】PDF版本 【关键词】薪酬设计、绩效优化、管理咨询 【文件核心观点】 - 为某集团设计合理的薪…

干货!这份伦敦银操指南请收好

伦敦银要操得好,投资者要有纯熟的看k线技巧,找到走势图中的支撑和主力地位是很重要的一环。通常当银价1小时、4小时、日线图出现比较大的阳线,那么大阳线的底部、顶部和中部,都是比较有效的支撑,当中又以日线尤为重要。…

Linux内核中并发与竞争的处理方法之原子操作

一. 简介 上一篇文章简单学习了Linux内核提供的原子操作。文章地址如下: Linux内核中并发与竞争的处理方法之原子操作简介-CSDN博客 本文继续学习Linux内核处理并发与竞争的处理方法之一:原子操作。Linux 内核提供了两组原子操作 API 函数&#xff0…

windows下采用 nginx配置websocket支持wss流程

第一步、安装OpenSSL (1)下载OpenSSL软件包 地址:https://slproweb.com/products/Win32OpenSSL.html OpenSSL版本说明: Win64 OpenSSL v1.1.1wLight,安装Win64 OpenSSL v1.1.1w最常用的软件包 Win64 OpenSSL v1.1…

C#_索引器

索引器的作用:令对象可像数组一般被索引 索引器 internal class TestClass {public int[] arr { 1, 2, 3, 4, 5 };public string this[int index] // 前者为返回类型,后者为索引类型// 返回类型代表get函数的返回值类型、set函数的value类型&#xff0…

【深度学习:TACO 数据集】探索 TACO 数据集【模型训练】

【深度学习:TACO 数据集】探索 TACO 数据集【模型训练】 介绍为什么选择以数据为中心的人工智能?上次我们学到了什么?问题关于数据集方法 什么是“对象注释质量”指标?第一次迭代:修复标签错误分析重新贴标签模型再训练…

c++的一些陌生用法记录

c的一些陌生用法记录 1. 完美转发std::forward<decltype(PH1)>(PH1)static的用法 1. 完美转发std::forward<decltype(PH1)>(PH1) static的用法 static函数与普通函数的区别&#xff1a; 用static修饰的函数&#xff0c;本限定在本源码文件中&#xff0c;不能被本源…

MyBatisPlus:PG数组类型自动映射问题

引言: PostGreSQL数据库提供了丰富的数据类型,通过查看官网文档,我们也可以发现,PG也提供了对数组类型的支持。 但是在实际开发中,我们通常是使用MyBatis/MyBatisPlus这种半自动ORM映射框架来实现数据库/表数据基本的增删改查,以及其它操作。那么,问题来了,如何…

大蟒蛇(Python)笔记(总结,摘要,概括)——第10章 文件和异常

目录 10.1 读取文件 10.1.1 读取文件的全部内容 10.1.2 相对文件路径和绝对文件路径 10.1.3 访问文件中的各行 10.1.4 使用文件的内容 10.1.5 包含100万位的大型文件 10.1.6 圆周率中包含你的生日吗 10.2 写入文件 10.2.1 写入一行 10.2.2 写入多行 10.3 异常 10.3.1 处理Ze…