vivado产生报告阅读分析18-设计收敛报告

设计收敛报告
Report QoR Assessment
report_qor_assessment 命令会生成报告以提供下列信息
• 评估得分 用于指示设计满足性能目标的概率
• 有关建议的后续步骤的流程指南
• 使用率和性能指标汇总信息
• 对于 QoR 至关重要的方法论检查汇总信息 仅限在文本版本中提供
• 有关 ML 策略可用性的信息
总体评估汇总
此汇总包含 QoR 评估得分和流程指南信息。
评估得分可以预测设计在实现流程中给定时间点达成时序目标的可能性。在流程中越早运行该命令 可节省的编译时间更多, 因此收益就越大。虽然准确性略有牺牲 得分比最终布线后得分高 但差值应不超过 1 。此得分是通过分析一组复杂的设计指标来生成的, 这些指标包括 UltraFast 方法论、器件使用率、控制集、时钟设置、建立裕量和保持裕量等。此外, 其中还考量了器件特有的特性和设计阶段。例如 执行 synth_design 将对时钟设置网表结构进行仔细检查; place_design 时钟偏差随着准确性增加而具有更大的权重之后以及在 route_design 之后 将考虑其他新因素, 例如设计能否完全布线。评分范围为从 1 5 。如果得分低于 5 请使用 report_qor_suggestions 来改善得分。
流程指南属于总体评估汇总的一部分。它会根据设计的当前状态进行动态更新。它可提供以下相关信息
• 您是否需要解决方法论问题
• 使用 QoR 建议是否改善设计
• 使用 ML 策略还是增量编译
QoR Assessment Details
QoR Assessment Details QoR 评估详情 表如下图所示 其中提供了便利的设计概览 着重显示奠定 RQA 评分基础的以下领域的问题。
Utilization 使用率
Netlist 网表
Clocking 时钟设置
Congestion 拥塞
Timing 时序
该表显示了分为 5 个类别的设计特性。每个类别中如无任何子项标记为 REVIEW 则该类别标记为 OK 。如有子项标记为 REVIEW 则会显示时序失败的项及其阈值和当前值。阈值并非硬性限制 可超出阈值限制 但可能导致难以达成时序收敛。如果阈值超出过多或者有众多类别均超出其阈值, 则需特别留意。标有 * 的项并不直接参与评分 但对于设计是否将满足时序, 这些项可能至关重要 故而因加以复查。 使用率检查是在 SLR 级别和 Pblock 级别对整个器件执行的检查。运行 report_qor_suggestions 有助于降低使用率。 网表检查是针对网表结构和非时序约束执行的检查。这些检查将识别具有 DONT_TOUCH 属性的项、驱动程序剖析信息欠佳的高扇出信号线以及可能给实现工具增加困难的其他设计功能特性。时钟设置可显示建立时间路径或保持时间路径上时钟偏差是否过高。失败的时钟偏差路径会被自动添加到 Vivado IDE 中。在文本模式下, 添加 ‑ csv_output_dir <directory> 即可生成 CSV 格式的时序路径。运行 report_qor_suggestions 可以给众多时钟偏差问题提供自动修复。 拥塞会查看网表中的剖析信息, 寻找可能造成布线拥塞的问题。拥塞区域信息在布局前不可用 但有部分网表项可用。 您可先运行布局布线来评估拥塞, 而后再修复这些项。运行 report_qor_suggestions 可生成相关建议 以拥塞区 域内的单元为目标来减少拥塞。 时序会查看每个时钟组中 100 条最差的路径。它将分析
WNS TNS WHS THS 判定设计是否有可能达成时序收敛。
• 信号线预算检查的是可布线的信号线 其中将添加保守的信号线延迟 而不是添加估算的延迟。
LUT 预算检查的是 LUT 将延迟替换为保守的 LUT 延迟 而不是使用估算的延迟。
LUT 和信号线预算检查都允许使用低于理想值的估算值。通过解决超出裕量的路径中的问题 以减少设计流程中后续出现的问题数量。请参阅 Vivado IDE 中的“ Challenging Timing Paths 时序收敛困难的路径 部分 或者生成 CSV文件以查看有关这些文件的更多信息。
在已布线的设计上 通过检查其他功能特性即可使用“ last mile 最后一步 指令查看设计是否收敛 该指令是在“Intelligent Design Runs 智能设计运行 功能特性内部使用的指令。它将基于最差情况时序路径内涉及的 WNS 、WHS、路径前后裕量和原语 检查时序路径是否能满足时序。
方法论检查
使用 report_methodology 运行有限数量的方法论检查以奠定坚实的基础 确保 QoR 建议有效。如果已生成方法论检查, 那么除非设计中存在变更 否则就会复用缓存的结果。如需运行方法论检查 则会导致运行时间增加。方法论检查可使用 -exclude_methodology_checks 开关来禁用。
ML Strategy Availability
如果参考运行中尚未运行所需的实现运行 那么 report_qor_suggestions 不会生成 ML 策略。“ ML Strategy Availability” ML 策略可用性 如下图所示 会核对每一个必需的实现步骤。
流程要求如下
opt_design 命令必须搭配 Explore Default 指令一起运行。
• 剩余实现指令必须全部设为 Default 或全部设为 Explore 。不允许混用搭配这些实现步骤。
• 必须启用 phys_opt_design 命令
• 设计必须完成布线。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:/a/183121.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

技术前沿探索:人工智能与大数据融合的未来

技术前沿探索&#xff1a;人工智能与大数据融合的未来 摘要&#xff1a;本博客将探讨人工智能与大数据融合领域的最新技术趋势、前沿研究方向以及挑战与机遇。通过介绍相关技术和案例&#xff0c;我们希望激发读者对这一领域的兴趣&#xff0c;并为其职业发展提供有益参考。 一…

【RtpRtcp】1: webrtc m79:audio的ChannelReceive 创建并使用

m79中,RtpRtcp::Create 的调用很少 不知道谁负责创建ChannelReceiveclass ChannelReceive : public ChannelReceiveInterface,public MediaTransportAudioSinkInterface {接收编码后的音频帧:接收rtcp包:

【Fusion 360环境搭建】保姆级贴心教程

文章目录 选择Fusion 360的理由一、Fusion 360注册账号二、安装 选择Fusion 360的理由 稚辉君推荐&#xff01; 一、Fusion 360注册账号 官网网址 https://www.autodesk.com.cn/products/fusion-360 贴心提示&#xff1a;访问不了时试试手机热点 选择“ 教育” &#xf…

[架构之路-249]:目标系统 - 设计方法 - 软件工程 - 需求工程- 需求开发:如何用图形表达需求,结构化方法的需求分析

目录 一、概述 二、数据模型&#xff1a;E-R图/实体关系图&#xff08;数据单元之间的结构关系&#xff09; 三、功能模型&#xff1a;数据流图DFD&#xff08;逻辑运算&#xff0c;包括输入和输出&#xff0c;实体之间的关系&#xff09;&#xff1a;输入》处理 》 输出 四…

golang学习笔记——创建项目

创建项目 从Go 1.8开始&#xff0c;将GOPATH设置为环境变量不是必需的。如果我们没有设置一个&#xff0c;Go使用默认的GOPATH为$HOME/go。可以使用go env查看环境变量信息。 创建项目 # 创建项目目录 mkdir helloLog cd helloLog # 使用go mod初始化项目,生成go.mod文件 go…

成为独立开发者有多难

首先自我介绍&#xff1a;我是一名前端开发工程师&#xff0c;7年的前端开发经验。CSDN 九段刀客_js,vue,ReactNative-CSDN博客,80多万的访问量&#xff0c;1万多的粉丝。 相信80%的程序员的终极梦想都是成为一名独立开发者&#xff0c;不用找工作有自己的产品可以有睡后收入。…

《C++ Core Guidelines解析》深入理解C++

前言 在计算机编程领域&#xff0c;C一直以其高效、灵活和强大而闻名。然而&#xff0c;C作为一种复杂的编程语言&#xff0c;如果没有正确的理解和使用&#xff0c;很容易导致软件质量的下降和性能问题的出现。幸运的是&#xff0c;一本名为《CCore Guidelines解析》的书籍为…

97、Text2NeRF: Text-Driven 3D Scene Generation with Neural Radiance Fields

简介 论文地址 使用扩散模型来推断文本相关图像作为内容先验&#xff0c;并使用单目深度估计方法来提供几何先验&#xff0c;并引入了一种渐进的场景绘制和更新策略&#xff0c;保证不同视图之间纹理和几何的一致性 实现流程 简单而言&#xff1a; 文本-图片扩散模型生成一…

An issue was found when checking AAR metadata

一、报错信息 An issue was found when checking AAR metadata:1. Dependency androidx.activity:activity:1.8.0 requires libraries and applications that depend on it to compile against version 34 or later of the Android APIs.:app is currently compiled against …

微服务负载均衡器Ribbon

1.什么是Ribbon 目前主流的负载方案分为以下两种&#xff1a; 集中式负载均衡&#xff0c;在消费者和服务提供方中间使用独立的代理方式进行负载&#xff0c;有硬件的&#xff08;比如 F5&#xff09;&#xff0c;也有软件的&#xff08;比如 Nginx&#xff09;。 客户端根据…

CentOS 7 使用异步网络框架Libevent

CentOS 7 安装Libevent库 libevent github地址&#xff1a;https://github.com/libevent/libevent 步骤1&#xff1a;首先&#xff0c;你需要下载libevent的源代码。你可以从github或者源代码官方网站下载。并上传至/usr/local/source_code/ 步骤2&#xff1a;下载完成后&…

Springboot整合MybatisPlus及分页功能

1 引入pom <dependency><groupId>org.springframework.boot</groupId><artifactId>spring-boot</artifactId><version>2.7.14</version> </dependency> <dependency><groupId>com.baomidou</groupId><a…

【考研数据结构代码题8】三元组表示的稀疏矩阵快速转置

题目&#xff1a;三元组表示的稀疏矩阵快速转置 考点&#xff1a;矩阵的压缩与存储 难度&#xff1a;★★★ 稀疏矩阵压缩存储 三元组结构 //三元组结构 typedef struct {int row;int col;int value; } Term; 核心代码 // 转置函数&#xff0c;a为原矩阵&#xff0c;b存放转…

AIGC专题报告:生成式人工智能用例汇编

今天分享的是AIGC系列深度研究报告&#xff1a;《AIGC专题报告&#xff1a;生成式人工智能用例汇编》。 &#xff08;报告出品方&#xff1a;德勤&#xff09; 报告共计&#xff1a;16页 生成式人工智能&#xff08;AI&#xff09;的兴起 生成式AI给人类文明创造了无限的可…

STM32 CAN通信自定义数据包多帧连发乱序问题

场景&#xff1a; can标准帧中每一帧只能传输8字节&#xff0c;而应用中传输一包的内容往往超过8字节&#xff0c;因此需要把一个包拆成多个帧发送&#xff0c;接收端才把收到的多帧重新组装成一个完整的包 问题描述 在一问一答的两块板间通信&#xff0c;多帧连发是能够按照…

致远M3 反序列化RCE漏洞复现(XVE-2023-24878)

0x01 产品简介 M3移动办公是致远互联打造的一站式智能工作平台&#xff0c;提供全方位的企业移动业务管理&#xff0c;致力于构建以人为中心的智能化移动应用场景&#xff0c;促进人员工作积极性和创造力&#xff0c;提升企业效率和效能&#xff0c;是为企业量身定制的移动智慧…

基于51单片机音乐盒设计( proteus仿真+程序+原理图+PCB+报告+讲解视频)

音乐盒 主要功能&#xff1a;仿真原理图PCB图程序设计&#xff1a;设计报告实物图资料清单&#xff08;提供资料清单所有文件&#xff09;&#xff1a;资料下载链接&#xff1a; 基于51单片机音乐盒仿真设计( proteus仿真程序原理图PCB报告讲解视频&#xff09; 仿真图proteus …

【LeetCode刷题】--67.二进制求和

67.二进制求和 方法&#xff1a;模拟计算 class Solution {public String addBinary(String a, String b) {StringBuilder ans new StringBuilder();int carry 0;for(int ia.length()-1,jb.length()-1;i>0||j>0;i--,j--){int sum carry;sum i >0 ? a.charAt(i) …

web:[WUSTCTF2020]朴实无华

题目 点开页面显示如下 页面显示了一行报错&#xff1a;Cannot modify header information - headers already sent by (output started at /var/www/html/index.php:3) in /var/www/html/index.php on line 4 意思为不能修改报头信息-报头已经发送(输出开始于/var/www/html/i…

深度学习之基于Pytorch照片图像转漫画风格网络系统

欢迎大家点赞、收藏、关注、评论啦 &#xff0c;由于篇幅有限&#xff0c;只展示了部分核心代码。 文章目录 一项目简介 二、功能三、系统四. 总结 一项目简介 以下是一个基本的设计介绍&#xff1a; 数据准备&#xff1a;收集足够的真实照片和漫画图像&#xff0c;用于训练模…